MOUNTAIN VIEW, Calif., Sept. 19, 2016 /PRNewswire/ --

Highlights:

  • IC Compiler II certification delivers correlated result to signoff with the integration of PrimeTime's delay calculation kernel
  • Ultra-low voltage design is enabled by advanced waveform propagation and parametric on chip variation technologies in the Galaxy Design Platform

Synopsys, Inc. (Nasdaq: SNPS) today announced that TSMC has certified the complete suite of Synopsys' digital, signoff and custom implementation tools for TSMC's most advanced 7-nanometer (nm) FinFET technology node. With multiple designs already under development by early adopters of 7-nm technology, this certification enables mutual customers to derive the maximum benefits of the new technology node using IC Compiler II.

To support TSMC's 7-nm ultra-low voltage operation, key features such as parametric on chip variation (POCV) specified with Liberty™ Variation Format (LVF) and advanced waveform propagation (AWP) technologies are supported in the Galaxy™ Design Platform. The platform is validated to handle the design rules and requirements of the full-colored 7-nm process, such as metal cut-awareness and reliability at all levels of the system-on-chip (SoC) design. The 7-nm certification delivers routing rules, physical verification runsets, signoff-accurate extraction technology files, statistical timing analysis that correlates with SPICE, and interoperable process design kits (iPDKs) for the 7-nm FinFET process.

"This collaboration effort with TSMC for the 7-nm technology node addresses the new process effect requirements by including IC Compiler II and other products from the Galaxy Design Platform," said Bijan Kiani, vice president of product marketing for the Design Group at Synopsys. "The collaboration allows our mutual customers to achieve quality results and faster time to market using the Galaxy Design Platform for their 7-nm designs."

"Building on our longstanding FinFET collaboration with Synopsys, this TSMC certification signifies that the tools from the Galaxy Design Platform are now ready for early engagements at 7-nm," said Suk Lee, TSMC senior director, Design Infrastructure Marketing Division.

The Galaxy tools included in TSMC's certification include:

  • IC Compiler II place and route: Full color flow implementation with support for cut-metal, POCV and AWP
  • PrimeTime® timing signoff solution: Ultra-low voltage support including POCV and AWP
  • StarRC™ extraction: cut-metal and multi-patterning support; more stringent color-aware electromigration (EM) rules
  • IC Validator physical verification: Certified runsets for signoff DRC and LVS; cut-metal and complex fill-to-signal space support
  • CustomSim™ reliability analysis solutions: Static and dynamic transistor-level analysis for color-aware EM rules support for analysis and IR-drop integrity
  • Custom Compiler™ full custom solution: Support for full coloring flow; track-pattern support, in-design EM/IR calculation and EM/IR analysis
  • HSPICE®, CustomSim and FineSim® simulation: Device modeling with self-heating effect and accuracy for analog, high-frequency and SRAM designs
  • NanoTime custom timing analysis: SPICE-accurate transistor-level static timing analysis for 7-nm devices
  • ESP-CV custom functional verification: Transistor-level symbolic equivalence checking for 7-nm SRAM, macros and library cell designs
  • In addition, color-aware EM rule support has been implemented in PrimeRail, and IR-drop enablement is actively ongoing

About Synopsys

Synopsys, Inc. (Nasdaq:SNPS) is the Silicon to Software™ partner for innovative companies developing the electronic products and software applications we rely on every day. As the world's 15th largest software company, Synopsys has a long history of being a global leader in electronic design automation (EDA) and semiconductor IP, and is also growing its leadership in software quality and security solutions. Whether you're a system-on-chip (SoC) designer creating advanced semiconductors, or a software developer writing applications that require the highest quality and security, Synopsys has the solutions needed to deliver innovative, high-quality, secure products. Learn more at www.synopsys.com.

Editorial Contact:

Tess Berdiago-Cahayag
Synopsys, Inc.
650-584-5446
maritess@synopsys.com

 

To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/tsmc-certifies-synopsys-ic-compiler-ii-for-the-most-advanced-7-nm-process-node-enabling-early-tapeouts-300329962.html

SOURCE Synopsys, Inc.

Copyright 2016 PR Newswire

Synopsys (NASDAQ:SNPS)
Historical Stock Chart
From Apr 2024 to May 2024 Click Here for more Synopsys Charts.
Synopsys (NASDAQ:SNPS)
Historical Stock Chart
From May 2023 to May 2024 Click Here for more Synopsys Charts.