SECURITIES AND EXCHANGE COMMISSION
Washington, D.C. 20549
______________________

FORM 6-K

REPORT OF A FOREIGN ISSUER
PURSUANT TO RULE 13A-16 OR 15D-16
OF THE SECURITIES EXCHANGE ACT OF 1934
For March 5, 2025

Commission File Number 001-33463

______________________

ASML Holding N.V.

De Run 6501
5504 DR Veldhoven
The Netherlands
(Address of principal executive offices)
______________________

Indicate by check mark whether the registrant files or will file annual reports under cover of Form 20-F or Form 40-F.

Form 20-F x Form 40-F ¨

Indicate by check mark if the registrant is submitting the Form 6-K on paper as permitted by Regulation S-T Rule 101(b)(1): ¨

Indicate by check mark if the registrant is submitting the Form 6-K on paper as permitted by Regulation S-T Rule 101(b)(7): ¨







Exhibits                                         logo6k.jpg

99.1    2024 Annual Report based on IFRS
99.2    "ASML publishes 2024 Annual Reports", press release dated March 5, 2025







SIGNATURES

Pursuant to the requirements of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized.

    ASML HOLDING N.V. (Registrant)

Date: March 5, 2025    By:    /s/ Christophe D. Fouquet
        Christophe D. Fouquet
        Chief Executive Officer


Exhibit 99.1


 
Our technology drives faster, more powerful and energy- efficient microchips that help society tackle important challenges. This continuous innovation can only be achieved through the strong partnerships we build with our various stakeholders, working together to create solutions for a more sustainable future for everyone. Powering technology forward with local communitieswith customers with our people with suppliers with partners See page 11 > See page 12 > See page 13 > See page 14 > See page 15 > STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 2 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct


 
Powering technology forward 11 with customers 12 with our people 13 with suppliers 14 with partners 15 with local communities View our Highlights online > Our 2024 online report highlights key information from this pdf with additional links to relevant information on our corporate website. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 3 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Contents 1. Strategic report 4 At a glance 39 Our business strategy 6 In conversation with our CEO 41 Our business model Our business 44 Engaged stakeholders 17 Our holistic approach to lithography Performance and risk 20 Our products and services 51 Message from our CFO 27 Supporting our customers 54 Financial performance 28 Driving innovation 61 Risk 33 Our marketplace 77 Corporate conduct 2. Corporate governance 95 Corporate governance 120 Meetings and attendance 97 Board of Management 125 Supervisory Board committees 99 Supervisory Board 137 Financial statements and profit allocation102 Other Board-related matters 106 AGM and share capital Remuneration report 110 Financial reporting and audit 138 Message from the Chair of the Remuneration Committee112 Compliance with corporate governance requirements 140 Remuneration at a glance Supervisory Board report 142 Remuneration Committee 114 An interview with our Chair of the Supervisory Board 145 Board of Management remuneration 161 Supervisory Board remuneration 116 Supervisory Board focus in 2024 164 Other information 165 Directors’ responsibility statement 3. Sustainability statements General disclosures 190 Environmental 167 Basis for preparation 191 Energy efficiency and climate action 169 ESG sustainability governance 231 Circular economy 172 ESG sustainability at a glance 246 EU Taxonomy 173 Our value chain overview 255 Social 174 Impact, risk and opportunity management 256 Attractive workplace for all 284 Responsible value chain 181 Contributing to the UN's SDGs 293 Innovation ecosystem 182 Metrics 302 Valued partner in our communities 183 Reference table 317 Governance 318 ESG integrated governance 4. Financial statements Consolidated financial statements Company financial statements 328 Consolidated statement of profit or loss 388 Company balance sheet 389 Company statement of profit or loss329 Consolidated statement of comprehensive income 330 Consolidated statement of financial position 389 Notes to the Company financial statements 331 Consolidated statement of changes in equity 395 Other information 406 Other appendices 333 Consolidated statement of cash flows 410 Definitions 334 Notes to the Consolidated financial statements A definition or explanation of abbreviations, technical terms and other terms used throughout this Annual Report can be found in the Definitions section. In some cases, numbers have been rounded for readers’ convenience. This report comprises regulated information within the meaning of articles 1:1 and 5:25c of the Dutch Financial Markets Supervision Act (Wet op het Financieel Toezicht). The sections Strategic report, Corporate governance, Supervisory Board report, Directors’ responsibility statement and Sustainability statement including EU Taxonomy, together form the Management Report within the meaning of Section 2:391 and 2:391a of the Dutch Civil Code (and related Decrees). In this report the name ‘ASML’ is sometimes used for convenience in contexts where reference is made to ASML Holding NV and/or any of its subsidiaries, as the context may require. This document is the PDF/printed version of the 2024 Annual Report of ASML Holding NV in the European single electronic reporting format (ESEF) and has been prepared for ease of use. The ESEF reporting package is available on the company's website at https://www.asml.com. In any case of discrepancies between this PDF version and the ESEF reporting package, the latter prevails. References to our website and/or video presentations in this Annual Report are for reference only and none nor any portion thereof are incorporated by reference in this report. © 2024, ASML Holding NV All Rights Reserved.


 
Why we exist – our purpose What we try to achieve – our vision What we uniquely do – our missionAs one of the leading innovators in the semiconductor industry, ASML has been helping chipmakers push technology to new limits and solve some of society’s toughest challenges since 1984. Together, our hardware, software and services provide a holistic lithography approach to mass- producing the patterns of microchips. We design and integrate lithography systems with computational tools, metrology and inspection systems, and process control software solutions – helping chipmakers achieve their highest yields and best performance. Unlocking the potential of people and society by pushing technology to new limits. We enable groundbreaking technology to solve some of humanity’s toughest challenges. Together with our partners, we provide leading patterning solutions that drive the advancement of microchips. We live by our values to drive success We challenge We collaborate We care By questioning the status quo and pushing boundaries, keeping technology moving forward. By tapping into the collective potential of our ecosystem of customers, suppliers, partners and stakeholders, creating better solutions. By acting with integrity and respect, and providing a safe, inclusive and trusting environment where our people can learn and grow. Page 166 > STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 4 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct We are a global innovator Read more about how we embed ESG sustainability across our business.


 
€28.3bn Total net sales €22.4bn Asia €4.5bn US €1.3bn EMEA Read more on page 54 > 583 Net system sales (in units) Read more on page 334 > 5,150 Total suppliers 1,600 in the Netherlands 750 in EMEA (excl. NL) 1,400 in North America 1,400 in Asia Read more on page 284 > €4.3bn R&D costs We innovate across our entire product portfolio through strong investment in R&D Read more on page 28 > 44,027 Total employees (FTEs) 25,848 EMEA 9,699 Asia 8,480 US Read more on page 255 > €3.0bn Returned to shareholders Read more on page 331 > 32.8 kt Scope 1 and 2 CO2e emissions Read more on page 191 > 12.0 Mt Scope 3 CO2e emissions Read more on page 191 > 88% Reuse rate of parts returned from field and factory Read more on page 231 > 51.3% Gross margin Read more on page 54 > 60+ Locations 3 Continents 148 Nationalities 21% Women in entire workforce (headcount) Read more on page 255 > 86% Customer satisfaction survey score Read more on page 27 and page 45 > €1,084 Amount invested per employee, including employee giving Read more on page 302 > STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 5 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Key facts and figures 2024 The figures in the table below are based on US GAAP, as ASML measures its performance and externally reports quarterly to stakeholders in accordance with US GAAP. Rounding differences may occur. Page 166 >


 
We are committed to powering people and technology forward with you.” Christophe Fouquet President, Chief Executive Officer and Chair of the Board of Management STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 6 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct In conversation With our President, Chief Executive Officer and Chair of the Board of Management Christophe Fouquet At the 2024 AGM, Christophe Fouquet was appointed President, Chief Executive Officer and Chair of the Board of Management of ASML, succeeding Peter Wennink and Martin van den Brink. In this Q&A session, Christophe outlines the key achievements of the last 12 months, his priorities as the company continues to grow rapidly, and his expectations for the years ahead. Q Looking back at the year, what were the standout moments? In 2024, we celebrated the 40th anniversary of ASML, and while this was a moment for us to come together to reflect on the past, it was also an opportunity to look ahead. ASML was once a small, obscure company that nobody had heard of, but its role in our industry and in society has changed dramatically over the last four decades. Driven by our strong relationships with our customers, who are always our top priority, we have grown to become an undeniably important global company – but of course, ASML cannot and will not stand still. There is always more that can and must be done. As we expected, 2024 was a year of transition – not only in terms of the leadership team, but also from a market point of view. The business again performed very well, as we explain in detail elsewhere in this report, growing sales to €28.3 billion, up by 2.6% over 2023. Our gross margin was 50.5%, an increase of 0.5 percentage point and we paid dividends totaling €2.5 billion, while our backlog stands at around a healthy €36 billion. There were many! This was a period when we installed the industry’s first High NA extreme ultraviolet (EUV) lithography system, achieved financial performance in line with expectations, delivered on our environmental, social and governance (ESG) commitments and continued to lay down plans that will ensure that ASML maintains and extends its standing as one of the world’s great technology companies. As many stakeholders have told me, the transition from Peter and Martin to me was as smooth as a Formula One pit stop, and I thank them both for their support. Great credit is due for their astonishing legacy of innovation which is helping the world rise to its biggest challenges, from climate change and the energy transition to unleashing the full benefits of artificial intelligence (AI). Celebrating the 40th anniversary of ASML


 
Q What were the major innovations that helped ASML push technology forward? Innovation is the heartbeat of our company, and in 2024 it was very pleasing to finalize the first installation of our High NA EUV system (TWINSCAN EXE:5000) at one of our major customers. Ten years in the making, High NA EUV (EUV 0.55 NA) has been a huge investment for ASML and demanded seamless collaboration with partners and customers who have invested in the next generation of tools. We are very happy that High NA EUV is now operational and playing its part in moving Moore’s Law forward. We’ll continue to enable many of the solutions that are transforming our planet.” Christophe Fouquet President, Chief Executive Officer and Chair of the Board of Management However, serving customers well requires more than just the latest and greatest products – it also means focusing on all the other essential yet less newsworthy innovations that are so important to our customers. It gave us real satisfaction to ship the first TWINSCAN NXE:3800E, increasing productivity by more than 35% as compared to its predecessor, the TWINSCAN NXE:3600D, and also to take a major step in deep ultraviolet (DUV) with the shipment of the first TWINSCAN NXT:870B, which delivers major progress on productivity, overlay and cost per exposure compared to its predecessor, the TWINSCAN NXT:870. There are many other examples of how our innovations are continuing to deliver demonstrable improvements for our customers – in areas from immersion lithography systems to metrology, control solutions and multibeam technology. Q Where do you see future growth coming from? As we shared at the 2024 Investor Day, during the last 12 months AI has come to life and proved itself to be a major force. It is going to drive new applications and growth in the next five to ten years – there’s no doubt about this, and a lot of our peers in the industry have also expressed similarly bullish views about the opportunity ahead. Today, its impact is mainly evident in the sales of very advanced servers and high-power computing. But we expect that there is a lot more to come – we don’t know exactly in what form, or when and how, but it will for sure be a very important factor for our industry, with transformational and positive consequences for ASML and for society. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 7 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct In conversation (continued) With our President, Chief Executive Officer and Chair of the Board of Management Christophe Fouquet


 
If I look at the future growth of ASML, then of course lithography remains one of the key drivers of Moore’s Law, and we believe this will continue to be true for many, many years. At the same time, as we realized several years ago, 2D shrink is becoming more and more difficult. This is not necessarily because of limitations in lithography, but because we have almost reached the limitations of the transistors that our Logic and Memory customers are using. In order to continue to make progress on 2D shrink, we need architecture and device innovation. That means 3D front-end integration, which will in turn present a growth opportunity for us – because 3D integration depends on bonding and this requires holistic lithography. I think that 3D integration is set to be an increasingly important complementary technology, or set of technologies, to 2D shrink. Q Stakeholders are integral to ASML’s success. How do you engage and collaborate with them? Our stakeholder relationships – with customers, employees, suppliers, shareholders and society – are incredibly important to us and we work hard to create and maintain strong relationships with them. Trust is an essential part of partnership, and while we’ve successfully focused on building trust with our customers, we are now striving to extend that notion to all of our stakeholders. That means sharing our future vision, being transparent about what comes next and how a particular stakeholder can play a role. Regular customer engagement helps us to understand our customers’ needs and can shape our technology development to meet them – fostering collaboration that not only enhances customer satisfaction but also supports our market position. We could not meet customer needs without the support of our suppliers, who provide essential components and materials, and help us maintain the high quality and reliability of our products. Strong partnerships with suppliers also promote innovation, enabling us to develop cutting-edge solutions together. Ultimately, the success of our customers and the strength of our supply chain are intertwined, making both groups absolutely central to our business strategy. Engagement with broader society, including local communities and governments in the regions around the world we operate in, is equally important. For example, we are engaging and investing proactively in the region around our Veldhoven headquarters, working hand in hand with the community. In fact, there has been a significant discussion this year about strengthening the industry in the Brainport Eindhoven region and the Netherlands, through partnerships and funding from authorities and industry that are designed to create societal solutions and fuel future economic growth in a responsible way. By collaborating with the Brainport Eindhoven community, we can build a future that works for ASML as well as for the broader society. We also want to partner with the government in order to address some of the complex geopolitical questions that we face. As a global company that is also a Dutch and European champion, we need to work alongside our government to help us move forward, to ensure our interests are represented and to shape an outcome that is good for Europe, for the Netherlands and for ASML. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 8 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct In conversation (continued) With our President, Chief Executive Officer and Chair of the Board of Management Christophe Fouquet The more diverse the people we welcome to ASML, the more opportunities we have to enrich what we do every day.” Christophe Fouquet President, Chief Executive Officer and Chair of the Board of Management


 
Q Sustainability is an important topic for all stakeholders. How is ASML performing? The technology sector can fundamentally support other industries and society to achieve critical ESG targets. For example, the industry will require major innovation to reduce cost and energy consumption related to AI – this will drive collaborative advancements that benefit the entire ecosystem. We have the chance to contribute in ways beyond what we do here at ASML. Some of these ways are showcased in case studies throughout this report, and they are a real source of pride and motivation for a lot of our people. I’m pleased with the progress we have made on scope 1, 2 and 3 emissions. I believe our environmental programs are strong and meaningful, and put us and our industry well ahead of many other industries. For the first time, we shipped a DUV system and a metrology system via sea instead of air in 2024. This is a relatively minor example of how we’re addressing ESG, but it shows how wide we cast the net when looking for ways to make a difference. For us, ESG has never been a fad or a fleeting fashion. It is simply the right thing to do – not only for ASML, but for everybody else too. As you can see from the extensive Sustainability statements section in this Annual Report, the ASML team has done a tremendous job preparing for the newly announced ESRS (European Sustainability Reporting Standards) reporting requirements. We are reporting as of this year in accordance with those ESRS requirements – an extraordinary achievement. Q What are your top priorities for 2025 and beyond? A key priority is to continue to align with our customers’ roadmaps. Our customers face a lot of difficult choices in the next few years – and they have to make sure that the technology they choose can deliver the outcomes they need. We’re aware that the move to the next technology in our lithography systems could potentially come with very high costs for our customers. Our task – and our opportunity – is to understand how we can help them, and to develop products and services that will enable them to achieve their quality goals at the lowest possible risk and the lowest possible cost. For me this is crucial, and it is a key priority on the technology side. As always, we focus on our people, and specifically on how we can help them to take ASML to new levels. In recent months, I’ve stressed the importance of everybody at ASML taking ownership of what they do. I’ve also explained that to enable people to own their actions, we need greater simplification. These two threads have become a crusade that will be increasingly evident in the months ahead. The combination of ownership and simplification is a powerful engine that will help our people innovate better and more. Another important mission is to make sure that everybody feels that ASML is a place where they can realize their full potential. This has been a challenge in the last few years due to our rapid growth and the huge increases in headcount. But now we’re redoubling our efforts – we’re committed to making sure that ASML is somewhere that talented people have space to be creative, where they can collaborate with highly skilled colleagues and take us to the next level of innovation. Q How can the ASML culture support you in achieving those aims? Without doubt, it has a major role to play. A lot has changed over the last 40 years. The industry has moved on, customer expectations have ramped up, and the opportunities for technology have exploded. And while our culture and diverse workforce has been instrumental in getting us to where we are today, we need to constantly raise the bar and make sure it is totally aligned with the task ahead. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 9 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct In conversation (continued) With our President, Chief Executive Officer and Chair of the Board of Management Christophe Fouquet The technology sector can fundamentally support other industries and society to achieve critical ESG targets.” Christophe Fouquet President, Chief Executive Officer and Chair of the Board of Management


 
So our aim is not just to maintain this culture – we want to enrich it. By that I mean we have to be a lot better in every aspect of what we do, with the emphasis on flexibility, time to market, cost, quality, ownership and simplification. While it is the job of ASML’s leadership to create and support this new enriched culture, it is also the responsibility of every single employee in the company. This must be an evolution, not a revolution, and it goes back to one of our core values: challenge. We need to challenge our own culture, retaining the best elements while adding in new ones in order to be an even better company. This becomes even more important as our headcount grows and new employees join ASML. Diversity will continue to have a big part to play because it enables us to look at things from a range of different perspectives. This is something we’ve done with great success for many years. The challenge is that sometimes inclusion does not come as naturally as diversity. Put simply, we need to do more to make everybody – regardless of background or culture – feel at home and welcome. ASML is a place that can turn any difference into an asset. Q What’s the business outlook for 2025? Looking at the big picture, the long-term outlook for our industry is very strong despite the continuing geopolitical tensions, with semiconductors playing a major role as mission-critical enablers of multiple megatrends in society. Although the rest of the market is recovering more slowly than anticipated, the emergence of AI is a significant opportunity. We expect that global semiconductor sales will grow by 9% compound annual growth rate over the period 2025 to 2030 and passing the $1 trillion mark in 2030. The industry will require major innovations to address the need to improve cost and energy consumption on AI, and this will require further boosting the industry roadmap. As always, the period ahead will see our customers remaining at the center of ASML strategy – and we believe that lithography will continue to be at the heart of their innovation processes. Even for advanced chip manufacturing processes, lithography is still the best way to drive down costs and energy consumption. ESG will also remain a key factor in everything we do. In recent years, we have worked very hard with our partners to make sure that our industry as a whole can lead the way on ESG. We have already taken huge strides, and we are committed to collaborating with our customers and our suppliers in order to make sure that we achieve the commitments we have made. I would like to end by paying tribute to the skills and commitment of our people. Everything we have discussed here – all the innovation, growth and other achievements – is only possible because of our team. All our stakeholders recognize that our employees are our greatest strength. When we decide to do something, we get it done – and I want to thank everybody at ASML for getting it done, time and time again, not just over the past 12 months, but throughout the last 40 years. Together, we can look forward to achieving even more in 2025. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 10 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct In conversation (continued) With our President, Chief Executive Officer and Chair of the Board of Management Christophe Fouquet Over the next few pages we share how we’re powering technology forward.


 
Powering technology forward... STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 11 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct customers with We’ve transformed our business to get closer to our customers – increasing their voice throughout the business, creating a cross-functional team empowered to make decisions quickly in the field, and improving the performance of our installed base. Read more about what we hope to achieve – and how we’re balancing innovation with delivering quality – in this Q&A with Jim Koonmen, Executive Vice President and Chief Customer Officer at ASML. Read now


 
our people Powering technology forward... with With the semiconductor industry projected to grow to $1 trillion in sales by 2030, ASML will need to grow to meet customer and market demand – and our new people strategy sets out how we’ll do that. Read more about how we’re setting ourselves up for future success – without losing the essence of what made us the company we are today – in this Q&A with Cristina Monteiro, Head of Human Resources & Organization at ASML. Read now STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 12 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct


 
Powering technology forward... STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 13 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct suppliers with Our systems comprise thousands of parts, most of which come from our suppliers – they are an essential part of our innovation ecosystem. Read more about how we’re better aligning with our suppliers – ensuring they can keep pace with our growth trajectory, while supporting their own – in this Q&A with Wayne Allan, Executive Vice President and Chief Strategic Sourcing & Procurement Officer at ASML. Read now


 
Powering technology forward... STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 14 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct partners with In 2024, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, and ASML opened the High NA EUV Lithography Lab in Veldhoven, the Netherlands, which is jointly run by ASML and imec. It marks a milestone in preparing High NA EUV lithography for accelerated adoption in mass manufacturing. Read now


 
Powering technology forward... STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 15 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct local communities We value the support and contribution of the communities we’re part of, and we feel a responsibility and a desire to give back to them. True to our mantra – Small acts. Big impact. Thrive together – ASML employees worldwide are playing a vital role in making an impact. Watch how we’re providing technical training for people with refugee backgrounds in the Netherlands, supporting food banks in Taiwan, and mentoring young people in the US. Watch now with


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 16 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our business 17 Our holistic approach to lithography 20 Our products and services 27 Supporting our customers 28 Driving innovation 33 Our marketplace 39 Our business strategy 41 Our business model 44 Engaged stakeholders


 
Lithography technology – using light to print tiny patterns on silicon – is fundamental to the mass production of microchips. Our holistic approach is based on integrating our lithography systems with a set of products that optimize production of microchips and enable affordable shrink. The semiconductor industry is driven by affordable shrink – the ability to make smaller, more energy-efficient transistors at the right cost. Reducing their size means more transistors can be packed into a given area of a microchip, increasing functionality and improving performance. Microchips are made by building up complex, interconnected patterns of transistors, layer by layer, on a silicon wafer – a process ASML’s lithography systems are central to. A lithography (more formally known as ‘photolithography’) system is essentially a projection system, with light projected through a blueprint of the pattern that will be printed (known as a ‘mask’ or ‘reticle’). With the pattern encoded in the light, the system’s optics shrink and focus the pattern onto a photosensitive silicon wafer. After the pattern is printed, the system moves the wafer slightly and prints another copy. Lithography is a key driver for shrink. It determines the smallest feature sizes that can be printed on a chip, and therefore the number of transistors and the performance. To achieve shrink, lithography has to use shorter wavelengths of light and larger numerical apertures, as well as other advanced techniques such as immersion lithography – which allows chipmakers to print even smaller features with the same wavelength of light by projecting the light through a layer of water between the lens and the wafer – and multiple patterning. As patterning gets smaller, our lithography systems become increasingly complex. And, as chipmakers print ever-smaller patterns, they face unprecedented engineering, material, constructional and manufacturing challenges. Many sources of variation and error can hinder the lithography process and must be controlled to ensure chips are produced with the required precision, in high volumes, as fast as possible and at the lowest cost. To help our customers understand and correct for potential issues that could cause variations or errors, we provide them with support and solutions at every stage of the chipmaking process, from early design and development to high-volume production. We do this by taking a holistic, integrated approach to lithography that enables customers to optimize the system setup and process window for high-volume manufacturing – helping them achieve their highest yields and best chip performance. Our holistic approach helps minimize any deviation between the intended and printed features of a microchip layout (so-called ‘edge placement error’ – see box), optimizing the lithography system’s performance, stability and yield – including maximizing the number of good wafers per day – and enabling ever-smaller chip features. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 17 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our holistic approach to lithography What is edge placement error (EPE)? Creating a microchip involves the patterning of tiny features in precise locations. EPE is the difference between the intended and the printed features of the layout of a microchip. Take, for example, a line with right and left edges – on a microchip, this line and its edges must be precise and placed in exact locations. Any deviation, no matter how slight, can result in misalignment, or an EPE. If one or more EPE issues crop up in the microchip production flow, the device is subject to shorts, which could cause the entire chip to fail.


 
Creating value in our customers’ fabs Because lithography is a critical step in the chip manufacturing process where the wafer is processed die by die – and therefore has a greater impact on performance than any other – ASML’s technology is pivotal in our customers’ semiconductor fabrication plants (or ‘fabs’). Our holistic approach helps increase lithography systems’ availability, reduce overall costs, and optimize yield for our customers. Steps in the microchip manufacturing process: 1. Deposition – The first step is typically to deposit different materials – such as metals/conductors, insulation films and semiconductors – onto a silicon wafer. 2. Photoresist coating – The wafer is then coated with a light-sensitive layer called a photoresist. 3. Lithography – Light is projected onto the wafer through a reticle. Optics shrink and focus the reticle pattern. This pattern is then printed onto the wafer when the resist layer is exposed to light. 4. Baking, developing and etching – The wafer is baked and developed to make the pattern permanent, with a pattern of open spaces. Reactive gases are used to etch away material from the open spaces, leaving a 3D version of the pattern. 5. Ion implantation – The wafer may be bombarded with positive or negative ions to tune the semiconductor properties. 6. Removing photoresist – After the layer is etched or ionized, the remainder of the photoresist coating that was protecting areas not to be etched is removed. The entire microchip manufacturing process – from start to tested and packaged device, ready for shipment – can take half a year, depending on the complexity of the microchip. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 18 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our holistic approach to lithography (continued)


 
Maximizing the process window Our holistic approach to lithography integrates a set of products – enabling chipmakers to develop, optimize and control the semiconductor production process. Lithography and all other stages in the microchip manufacturing process must be closely aligned for an optimal result. Within lithography, the process window is the collection of acceptable variations of process parameters that allow a microchip to be manufactured and to operate under desired specifications. By incorporating computational lithography, metrology and inspection, ASML’s holistic lithography portfolio enables customers to maximize the process window – keeping lithography systems stable in a high-volume manufacturing setting, which leads to a higher yield with more good wafers per day. Lithography is the only step in the microchip manufacturing process in which in-line adjustments can be made to optimize performance. It would be impossible for our lithography systems to manufacture chips at such increasingly small dimensions without the software we develop. Our system and process control software products enable automated control loops to maintain optimal operation of lithography processes and therefore maximize yield. As a result, our lithography systems are a hybrid of high-tech hardware and advanced software. Our development teams work across a range of coding practices, providing innovative solutions to the intricate problems affecting the chipmaking systems at the heart of the semiconductor industry. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 19 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our holistic approach to lithography (continued) Computational lithography is used to predict and enhance the process window of our lithography systems by calculating the optimal settings, depending on the specific application. This takes place in the research and development phase, before a lithography system goes into high-volume manufacturing. We have a suite of optical and e-beam wafer metrology and inspection products that control the process window and help ensure that the lithography system operates optimally in the fab environment.


 
Our comprehensive product portfolio is aligned to our customers’ roadmaps, delivering lithography solutions in support of all applications, from advanced to mainstream nodes. Using EUV light at a wavelength of 13.5 nm, our EUV lithography systems make it possible to print the smallest features on microchips at the highest density – they are used for the most intricate, critical layers on the most advanced microchips. They also help simplify our customers’ manufacturing processes, compared to complex multiple- patterning strategies using deep ultraviolet (DUV) immersion systems. ASML is currently the world’s only manufacturer of EUV lithography systems. TWINSCAN NXE platform (EUV 0.33 NA) Our TWINSCAN NXE platform, with a numerical aperture (NA) of 0.33, was first introduced to customers in 2013 and is now widely adopted in high-volume manufacturing by our major customers. It extends our customers’ Logic and Memory roadmaps by delivering improvements in resolution, productivity and overlay (layer-to- layer alignment) performance, enabling year- on-year cost reductions. Our EUV product roadmap is intended to drive affordable scaling to 2030 and beyond. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 20 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our products and services Extreme ultraviolet (EUV) lithography systems New for 2024: Our new NXE:3800E system boosts productivity and reduces error In 2024, we installed the first TWINSCAN NXE:3800E systems. This system is the successor to the TWINSCAN NXE:3600D and includes a higher-power light source, a new wafer handler and faster wafer stages. It increases productivity by more than 35% – up to 220 wafers per hour (wph), compared to 160 wph using the NXE:3600D – while driving consistent overlay accuracy across different tools (matched machine overlay) down to 0.9 nm, compared to 1.1 nm with the NXE:3600D.


 
TWINSCAN EXE platform (EUV 0.55 NA) High NA EUV, with an NA of 0.55, is an evolutionary step in EUV technology, introducing a novel optics design and significantly faster reticle and wafer stages. Our new TWINSCAN EXE platform offers chipmakers a critical dimension (the smallest feature that can be printed) of 8 nm. When compared with the TWINSCAN NXE systems, this means they can print transistors 1.7 times smaller – and therefore achieve transistor densities 2.9 times higher. These enhancements offer considerable benefits to our customers, enabling lithography simplification for future nodes, higher yields and decreased defect density for both Logic and DRAM. EUV 0.55 NA will help our customers extend their shrink roadmap and minimize double or triple patterning compared with 0.33 NA, leading to reduced patterning complexity, lower risk of defects and a shorter cycle time. In addition, the EXE platform has been designed to maximize commonality with the NXE platform to drive cost reduction, speed up the development of new solutions and optimize future reuse. Currently, they have a common wafer stage and source module. Our future systems will further extend this commonality with the ultimate goal of having a common platform early next decade that will only differentiate between systems from an optics point of view. We expect our TWINSCAN EXE platform to start supporting high-volume manufacturing in 2026 and have received purchase orders from all our major EUV customers for the delivery of the TWINSCAN EXE:5200B systems – high-volume EUV production systems with 0.55 NA and a higher number of wafers per hour. To prepare High NA EUV (0.55 NA) for high-volume manufacturing, the first operational prototype was made available to chipmakers in the new ASML-imec High NA EUV Lithography Lab at our Veldhoven campus (the Netherlands). Two more TWINSCAN EXE:5000 systems were assembled and installed at an Intel plant near Hillsboro, Oregon (US), and a fourth system was shipped to a customer in Asia. In April 2024, the High NA EUV system in Veldhoven printed the first-ever 10 nm dense lines, with imaging done after optics, sensors and stages completed coarse calibration (see image on the right). This important milestone showed the system is functioning, though not at full performance in a high-volume manufacturing environment yet. The TWINSCAN EXE:5000 EUV system is the first in a new generation of machines that will provide 8 nm resolution to support advanced Logic and Memory chip production. It allows chipmakers to reduce process complexity in high-volume manufacturing by using single instead of multiple patterning. This increases wafer output in customer fabs by reducing production cycle time. The technology will enable multiple future chip architectures, starting at the 2 nm Logic node and followed by Memory nodes at a similar transistor density. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 21 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our products and services (continued) Extreme ultraviolet (EUV) lithography systems New for 2024: High NA EUV success with our TWINSCAN EXE:5000


 
DUV lithography systems are the workhorses of the industry, producing the majority of layers in microchips. Supporting numerous market segments, we offer immersion as well as dry lithography systems, using a range of light sources to offer all wavelengths currently used in the semiconductor industry: argon fluoride (ArF) lasers for 193 nm wavelength, krypton fluoride (KrF) lasers for 248 nm and mercury vapor discharge lamps (i-line) for 365 nm. Our systems lead the industry in productivity, imaging and overlay performance to help manufacture a broad range of semiconductor nodes and technologies, and support the industry’s cost- and energy-efficient scaling. Immersion systems (NXTi platform) ArF immersion lithography maintains a thin layer of water between the lens and the wafer. Using the refractive index of water to increase NA improves resolution to support further shrink. Our immersion systems are suitable for both single-exposure and multiple-patterning lithography, and can be used in seamless combination with EUV systems to print different layers of the same chip. The TWINSCAN NXT:2150i is a dual-stage DUV immersion lithography system with a 193 nm ArF light source and a numerical aperture (NA) of 1.35 – the highest in the semiconductor industry right now. It offers better overlay and imaging performance at higher productivity (up to 310 wafers per hour) compared to the TWINSCAN NXT:2100, and with less process complexity. Dry systems (TWINSCAN NXT and TWINSCAN XT platform) Not every layer on a chip has to be produced by the most innovative immersion lithography systems. While some more complicated layers do require more advanced lithography systems, others can often be printed using ‘older’ technology such as dry lithography systems. With our dry systems product portfolio, we aim to offer our customers more cost- effective solutions for all wavelengths. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 22 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our products and services (continued) Deep ultraviolet (DUV) lithography systems New in 2024


 
The expected growth of the mainstream semiconductor market requires an increase in global lithography capacity – particularly in 200 mm (or 8-inch) wafer fabs, where approximately half of all mainstream node products are manufactured today. To help meet this need, we shipped our first TWINSCAN XT:400M – the successor to the TWINSCAN XT:400L – in April 2024. This dual-stage i-line dry lithography system prints 200 mm and 300 mm wafers with ≤ 20 nm overlay across the entire wafer, increasing productivity in mature- technology markets. The TWINSCAN NXT:870B is our latest KrF system that not only aims to set new productivity records – 400 wph compared to the 330 wph of its predecessor, the TWINSCAN NXT:870 – but will also feature a significant improvement in overlay and cost per exposure. We continue to innovate in productivity, cost of ownership and performance across our TWINSCAN NXT and TWINSCAN XT product lines (ArF, KrF and i-line) for 200 mm and 300 mm wafer sizes. Our refurbished products business refurbishes and upgrades our older lithography systems to extend their lives, and offers associated services and support. We currently offer refurbished PAS 5500 and first-generation AT, XT and NXT systems. ASML systems have a very long operational lifetime that often exceeds their role at the initial customer – remarkably, 95% of the systems we have sold in the last 30 years are still in use. Many customers are able to generate value by selling systems they no longer require. To support this sustainable product use and ensure used systems still deliver the quality ASML stands for, we are actively involved in the used- system market. Read more in Sustainability statements – Environmental – Circular economy New in 2024: NXT refurbishment In 2023, after years of refurbishing PAS and XT systems, we expanded our refurbished systems portfolio by adding NXT systems. We shipped the first refurbished NXT 1980Di system from our TWINSCAN factory to a customer in 2024, addressing a specific market segment that requires it. While we continue to produce new NXT systems, the NXT 1980Di refurbishment represents an impressive enhancement to our portfolio, utilizing a new industrialized approach for volume, efficiency, quality and cost. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 23 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our products and services (continued) Deep ultraviolet (DUV) lithography systems New in 2024 Refurbished systems


 
Our metrology and inspection systems enable chipmakers to accurately measure the printed patterns on wafers, ensuring they align with the intended designs. Our comprehensive portfolio supports chipmakers in optimizing patterning throughout every stage of the manufacturing process, from research and development to mass production. These systems are a key element of our holistic approach to lithography. They produce data at the speed and accuracy needed during high-volume manufacturing to enable our process control software solutions to create automated feedback control loops. This optimizes the lithography system settings for each exposure to reduce edge placement error (EPE), widening the process window to achieve the highest yield and best performance. Optical metrology Our YieldStar optical metrology systems allow chipmakers to assess the quality of patterns on the wafer in volume production, through fast, accurate overlay measurements. We offer two categories of YieldStar systems for use before and after ‘etching’ (the stage when the material in any open spaces is removed to reveal the 3D version of the patterns on the wafer). Pre-etch metrology measures the overlay and focus of the lithography system and the pattern printed on the photoresist. Post-etch metrology measures the overlay and critical dimension (CD) of the final patterns formed on the wafer. In 2024, we shipped the first 'early access' YieldStar 1390 – our next- generation standalone in-device metrology system. It is used for post- etch overlay measurements, enabling the inspection of device structures with more accuracy and higher speeds than scanning electron microscope (SEM) solutions. This supports very high sampling densities, driving more advanced process window control loops that improve the overlay performance and yield of the whole semiconductor manufacturing process, while reducing the cost of ownership significantly for metrology. In 2024, we shipped our 1,000th YieldStar system, marking a significant milestone since the first YieldStar (250D) was shipped to customers in 2008. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 24 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our products and services (continued) Metrology and inspection systems New in 2024


 
E-beam metrology and inspection Our HMI high-resolution electron beam (e- beam) systems provide critical dimension (CD) and edge placement error (EPE) metrology and defect detection, for chip development and production monitoring at high throughput. This capability enables our customers to identify and analyze individual chip defects among millions of printed patterns, significantly enhancing process control. While e-beam solutions were historically too slow to monitor volume production processes, we have increased the throughput to now uniquely offer e-beam solutions for use in high-volume manufacturing (HVM) as well as the R&D phase, which involves extensive testing, validation and fine-tuning to optimize the complete microchip manufacturing process for reliable, high-yield mass production. We offer two types of solutions to support R&D and HVM. E-beam metrology is used to monitor CD and EPE data at resolutions necessary for the implementation of EUV lithography, while e-beam inspection is used to monitor voltage contrast and physical defects for in-line process control. Our groundbreaking multiple e-beam (multibeam) inspection systems leverage several of ASML’s core technologies: advanced electron optics, advanced stages and computational technology. They operate at substantially higher throughput and lower cost of ownership, enabling broader adoption of multibeam voltage contrast and physical defect inspection for in-line monitoring in mass production. We continue to extend technology leadership in voltage contrast inspection and physical defect inspection with the widely adopted single-beam platform. The HMI eScan 460 is our latest single-beam inspection system, delivering higher resolution and faster throughput to capture a wide range of voltage contrast defect types. The HMI eP5 XLE is our new high-resolution physical defect inspection system capable of a wide range of landing energies to detect buried and sub-surface defects in 3D devices. Our single-beam metrology systems offer high-resolution and large field-of-view capabilities with metrology application software, enabling local and global CD and EPE measurements for EUV patterning process characterization and in-line monitoring and control. In 2024, we shipped a number of HMI eScan 460 and HMI eP5 XLE single-beam inspection systems to customers worldwide to support their advanced node development and production. Our first-generation multibeam system HMI eScan 1100 with 25 beams has demonstrated on average a 12x throughput advantage over single-beam systems in voltage contrast inspection use cases at Logic and DRAM customers. The higher throughput enables larger wafer area coverage for effective capturing of defect fingerprints, creating a strong customer pull for system shipments for in- line process monitoring in R&D and high- volume manufacturing. We have released our next-generation high-resolution e-beam metrology system HMI eP6 for large-volume metrology applications and continued to ship eP6 systems to customers in 2024. eP6 has demonstrated metrology performance improvements over eP5 on customer wafers, with 50% improvement in precision, about 70% improvement in distortion (critical for EPE measurement) and 40% improvement in throughput. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 25 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our products and services (continued) Metrology and inspection systems New in 2024


 
System and process control software Taking advantage of the flexibility of our lithography systems, our system and process control software products enable automated control loops to maintain optimal operation of lithography processes, thereby maximizing yield. Using powerful algorithms, they analyze metrology and inspection data and calculate necessary corrections for each individual exposure. This provides a feedback loop to the lithography system to minimize EPE in subsequent wafer lots. Our roadmap aims to apply more powerful algorithms with higher-order corrections to enable our customers to continue improving EPE performance. Our virtual computing platform (VCP) brings together all the data from lithography and metrology systems, enabling the latest ASML applications and enhancing transparency and collaboration. VCP manages peak loads and handles ever- increasing data speeds and volume with more computing power and storage in a modern and resilient software architecture. During lithography, diffraction of the light and physical and chemical effects in the photosensitive layer distort the image the machine is trying to print. Think of this like trying to draw a fine line with a broad watercolor paint brush – it smudges in many places. By using computational lithography we can predict and enhance the process window of our lithography systems by calculating the optimal settings for each specific application. During the R&D phase, our customers rely on computational lithography to optimize the imaging conditions of our lithography system. In addition, they develop the recipes to optimize reticle patterns to achieve the best pattern fidelity, which will be applied to each and every new reticle during high-volume manufacturing to ensure robust, manufacturable designs that deliver high yields. Insights from computational lithography solutions are also increasingly used to guide metrology and inspection, increasing throughput and enabling more precise process monitoring and control in high-volume manufacturing. Our computational lithography solutions are based on accurate computer simulations of the lithography system and process, representing a wide variety of physical and chemical effects. With these simulations, we can predict how a designed pattern will appear when printed on a wafer. We are increasingly using machine-learning techniques to further enhance the accuracy of models and reduce the computational time and cost. Our roadmap aims to apply more powerful algorithms with higher-order corrections, to enable our customers to continue improving EPE performance. Our installed base continues to grow, comprising not only new systems but refurbished ones with new owners in new markets and applications as well. To provide our customers with the best value proposition, we offer an extensive installed base management portfolio, including a wide range of service and upgrade options. We develop and sell product options and enhancements designed to improve throughput, patterning performance and overlay. Our field upgrade packages enable customers to optimize their cost of ownership over a system’s lifetime by upgrading older systems to improved models. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 26 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our products and services (continued) Computational lithography Managing our installed base system New in 2024 Computational lithography is advancing rapidly, focusing on enhancing the performance of lithography processes used in semiconductor manufacturing. Recent developments include improved algorithms for optical proximity correction (OPC) and source-mask optimization (SMO), which enhance pattern fidelity and resolution. Machine-learning techniques are increasingly being applied to predict and mitigate manufacturing variations, leading to better yield and efficiency.


 
We believe a strong relationship with our customers based on mutual trust is vitally important. We share the risks and rewards of what we do because our success is inextricably linked. We are one of the world’s leading manufacturers of chipmaking equipment, while our customers are the world’s leading microchip manufacturers. We enable them to create the patterns that define the electronic circuits on a chip. That’s why we collaborate with our customers to understand how our technology can best fit their needs and challenges: building partnerships, sharing knowledge and risks, aligning our investments in innovation, and increasingly focusing on the long-term challenges for the next five to ten years and beyond. The level and nature of collaboration varies from region to region and customer to customer depending on various factors. We develop our solutions based on their input, help them achieve their technology and cost roadmaps, and work together – often literally in the same team – to ensure that what we build today is what they need tomorrow. Engaging fully with customers is also an important part of working toward securing the full product portfolio that will sustain our company into the future. As our installed base continues to grow, we work very closely with our customers to develop and sell options and enhancements designed to improve throughput, patterning performance and overlay to optimize the cost of ownership over a system’s lifetime. Building on our customer relationships We market and sell our products directly to customers. Our account managers, field and application engineers, and service and technical support specialists are located close to our customers’ operations throughout Asia, the US, and Europe, the Middle East and Africa (EMEA). Trust is the foundation for our customer relationships. Our customers expect us to have the right means to meet their needs and expectations, consistently deliver upon the promises we make, be transparent about what we are doing, and fairly share the risks and rewards with them. How we provide customer support We support our customers 24/7 with a broad range of applications, services and technical support products to maintain and enhance our systems’ performance – such as next- day parts delivery and an easy-to-use, centralized customer portal. Dedicated customer support teams across the world effectively prioritize our customers’ needs and then attach solving power in central organizations to address them. We seek to ensure the systems in our customers’ fabs run at the highest levels of predictability and availability. We have well-trained customer support engineers in the regions where we operate. Together with our Global Support Center, they manage to solve more than 99% of issues in the field. We offer specialized training on an ongoing basis to extend the capabilities of our local customer service teams, and we continue to further enhance the technical expertise of local field engineers. In 2024, we integrated our customer-facing roles into one Customer Solution & Support (CS&S) organization to further simplify our customers’ interface to ASML. We also appointed a Chief Customer Officer on ASML’s Board of Management. We believe these developments will help us continue to provide excellent support and keep on building customer trust as the business grows. Read more in Strategic report – Our business – Engaged stakeholders – Customers STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 27 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Supporting our customers Where we operate – more than 60 locations across 3 continents Asia China Japan Malaysia Singapore South Korea Taiwan North America Arizona California Colorado Connecticut Idaho Massachusetts New Mexico New York Oregon Texas Utah Virginia EMEA Belgium France Germany Ireland Israel Italy Netherlands United Kingdom


 
Using the Rayleigh criterion to drive innovation At ASML, we optimize the Rayleigh criterion equation to reduce the critical dimension so our lithography systems can print ever-smaller features. Wavelength (lambda, λ) Numerical aperture (NA) k1 factor Over the years, ASML’s lithography systems have used shorter wavelengths of light to shrink chip features. We started with i-line systems using 365 nm ultraviolet (UV) light and added deep ultraviolet (DUV) systems with 248 nm light (KrF) and, later, 193 nm light (ArF). With the addition of our extreme ultraviolet (EUV) systems that use light with a wavelength of 13.5 nm – almost x-ray range – we enabled a significant leap in resolution. One way that we increase NA – and therefore shrink chip features – is by using larger lenses and mirrors in our lithography systems. Another way is by using a technique called immersion. Our ArF immersion systems (DUV) leverage water’s higher refractive index by maintaining a thin layer of water between the last lens element and the wafer to increase the system’s NA. Together with our computational lithography and patterning control software solutions, we provide the control loops for our customers to optimize their mask designs and illumination conditions. Over the past 40 years, we’ve improved the resolution (critical dimension) of our systems by two orders of magnitude by making improvements to wavelength, NA and k1. Read more in Strategic report – Our business – Our products and services Moore’s Law Why are we so focused on using the Rayleigh criterion to shrink chip features? In 1965, Intel co- founder Gordon Moore predicted that the number of transistors in an integrated circuit (IC) would double every year for the next decade. In 1975, he revised the prediction to every two years. His prediction has proved to be true – or, as some argue, a self-fulfilling prophecy. In the years that followed, this exponential growth led to significant increases in computing power and reductions in cost, driving rapid advances in technology and innovation in the semiconductor industry. Today, although physical limitations are making it more challenging to shrink transistors further, the semiconductor industry continues to boost performance using what Moore called ‘circuit and device cleverness’. Innovative chip designs, new materials, advanced packaging and complex 3D structures are sustaining the industry’s progress. ASML's lithography products play a crucial role in the affordable mass production of these advanced designs that are ensuring the continuation of Moore's Law and enabling future technological innovations. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 28 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Driving innovation Rayleigh criterion The resolution of our lithography systems is crucial for shrinking the size of transistors on microchips. To be able to print sharper, finer details, we live by the Rayleigh criterion – the resolution equation that determines just how small the features that can be printed on a chip are. CD is the critical dimension, or resolution. It represents the smallest structures that the lithography system can print. Lambda (λ) is the wavelength of the light source. The smaller the wavelength, the smaller the structures that can be printed. k1 is a factor relating to optical and process optimizations. NA is the numerical aperture, which describes how well a system’s optics gather and focus light. Larger NA lenses or mirrors can print smaller structures.


 
As a crucial manufacturer of lithography equipment, ASML is a vital part of the semiconductor value chain. We don’t innovate in isolation, but work as architects and integrators – collaborating closely with customers, our supply chain, and industry and research partners in a strong innovation ecosystem. R&D investments in € billion 2.0 2.2 2.5 3.3 4.0 4.3 2019 2020 2021 2022 2023 2024 0 1 2 3 4 5 Innovation is fundamental to the continuing success of our business. Every day, around 16,000 R&D engineers take on the exciting challenge of innovating across our holistic lithography portfolio, which includes the most advanced lithography systems in the world. To stay ahead, we invest heavily in R&D – spending €4.3 billion in 2024, compared with €4.0 billion in 2023, and further building our capability to meet our customers’ needs. In the context of overall innovation – which includes ESG-related innovation – we have already exceeded our goal to invest more than €4.0 billion in global R&D by 2025. Read more in Sustainability statements – Social – Innovation ecosystem – ESG innovation A collaborative network at the cutting edge of our digital future To drive the fast pace of innovation in our value chain and make progress together, we rely on our strong innovation ecosystem. We work hard to maintain it, developing long-term relationships with our customers, suppliers, research partners and peers, listening to and pushing each other to continuously innovate. We trust our supply chain to manufacture most system parts and modules, and many partners are deeply involved in developing our new technology. Our innovation ecosystem consists of five groups of innovation partners that we have strong relationships with: • Customers: We aim to innovate across our entire product portfolio at the same pace as our customers – through large and sustained investment in R&D. This so- called ‘double-helix’ approach is designed to accelerate innovation and provides access to a large, leading-edge knowledge base across a wide range of technologies. • Suppliers: Our supply chain is a critical enabler of our ambition to grow our core business through innovation. • Co-solution partners: We work closely with partners in the semiconductor value chain that deliver essential technologies to enable the efficient and cost-effective manufacturing of microchips. • Technology partners: We co-develop knowledge and expertise within a wide network of technology partners and institutes to accelerate innovation in specific areas. • Academia: Working together with universities provides us with access to knowledge and talent. We also collaborate with both local and global industry platforms, such as with the Confederation of Netherlands Industry and Employers (VNO-NCW), SEMI’s Sustainability Advisory Council and the Semiconductor Climate Consortium (SCC), to jointly tackle ESG challenges. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 29 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct How we innovate


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 30 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct How we innovate (continued) Filling the innovation funnel We encourage our researchers to build wide networks in the broader technology space. This supports the constant stream of new ideas into the technology pipeline that flows through what we call our ‘innovation funnel’. Based on our fundamental understanding of our markets and the needs of our customers, we select new ideas with the potential to advance our products and their customer application. Research teams Development and engineering teams Our research teams focus on generating and exploring exciting new ideas and demonstrating their feasibility. They scout for new ideas, which are then taken through the proof-of-concept stage. Those that pass the feasibility assessment and have a favorable value proposition are transferred to our development and engineering teams. Guided by our product generation process, our engineers create new components, subsystems and applications, integrating them into a functional system, while ensuring we innovate with a strong focus on time to market. Generating ideas and finding technological innovations and solutions Our researchers continuously scout for ideas within the semiconductor industry and beyond to fill our innovation funnel, searching for potential solutions to the challenges we may face with products in development or production as well as new technologies. Our focus on R&D helps us support our customers while delivering on our ESG and sustainability commitments. ASML’s success depends on our ability to deliver complex products quickly and efficiently. Our decision-based product generation process (PGP) helps us minimize risk and uncertainty by describing how we define, develop and introduce products to market – and also how we phase them out. It allows us to make deliberate decisions at each step on whether to proceed with a product, revealing possible issues early on to avoid later disappointments. Read more in Sustainability statements – Social – Innovation ecosystem Defining our products and services roadmap Product development in the semiconductor industry is managed through a series of roadmapping exercises – where ‘roadmaps’ define the plans for future product development. At ASML, we first assess the roadmaps of our customers – sometimes called the ‘device roadmaps’ – from which we determine the requirements for our own development needs. This starts with a holistic lithography solutions roadmap, which maps out the entire lithography product and services solutions space for the future. This in turn is broken down into product modules or technical building blocks, as well as service needs. For some of the building blocks, we need to pursue a technology feasibility study to ensure that the technology addresses our customers’ demands in terms of performance, cost and timing. ASML Fellowship Program We recognize and honor our technical experts because we know that our company’s success is built on technology leadership. One of the ways we do this is through the ASML Fellowship Program, which awards employees who make an outstanding technical contribution to ASML and are recognized both inside and outside the company as a top technical authority. In 2024, three new ASML Fellows were appointed and one of our current Fellows was promoted to the title of Senior Fellow. Former Chief Technology Officer Martin van den Brink was appointed Honorary Fellow, a special award honoring 40 years of his technical leadership.


 
Academia, industry and research institutes We co-develop technical expertise with a broad network of technology partners, including universities and research institutions. Key partners include the technical universities in Delft, Eindhoven and Twente, the Advanced Research Center for Nanolithography (ARCNL) and research organization TNO in the Netherlands, and imec in Belgium. In 2024, we intensified our collaboration with the Dutch academic ecosystem by adopting a more strategic approach to engaging Dutch universities. A central aspect of this strategy is to encourage collaboration on themes relevant to the Dutch economy, leveraging each university’s strengths to avoid fragmentation and foster a cohesive innovation ecosystem. We have identified key focus areas for our partners to maximize impact and aim to initiate large national collaboration initiatives on selected topics, bringing together universities, companies, and research and technology organizations. A longstanding relationship with Eindhoven University of Technology Our partnership with Eindhoven University of Technology (TU/e) is evolving to leverage top science and engineering talent in the Brainport Eindhoven region. In May 2024, we signed a new agreement to expand our collaboration, building on a ten-year strategic research roadmap established earlier in 2023. TU/e will enhance the joint research program and train more PhD students in plasma physics, mechatronics, optics and AI. ASML is investing €80 million over the next decade at TU/e, primarily for PhD programs and infrastructure. TU/e is also investing over €100 million in semiconductor technology, including a new cleanroom and additional PhD positions. To increase the impact of the collaboration with TU/e, we will aim to involve other companies and institutions in the region. Academic collaboration at ARCNL A key aspect of our academic collaboration is the ARCNL research institute in Amsterdam, a public–private partnership between ASML, NWO (Dutch Research Council) and three universities (Universiteit van Amsterdam, Vrije Universiteit Amsterdam and the Rijksuniversiteit Groningen). The collaboration focuses on fundamental research in physics and chemistry relevant to nanolithography and the semiconductor industry. In recent years, we have developed a unique model allowing ARCNL scientists to pursue their research interests while creating value for ASML. Celebrating its ten-year anniversary in 2024, ARCNL has become a respected institute known for excellent research and close industry collaboration. Our joint research is yielding results in areas such as EUV plasma generation and interferometric metrology techniques. These efforts aim to enhance patterning accuracy, sustainability and productivity for our customers. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 31 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct How we innovate (continued) How we innovated in 2024 Our strategy is to give customers the products and capabilities they need to deliver on technology’s potential to make a positive contribution to society. As well as creating some of the most advanced machines in the world, this includes an increased focus on sustainability through parts commonality and reuse, and improvements in the performance and energy efficiency of our products to reduce costs and waste. A number of innovation achievements over the last 12 months include significant improvements in metrology solutions, enhancing the accuracy and speed of measurements with reference to currently available metrology solutions (YieldStar). We are further increasing the EUV source power in order to accommodate our customers’ dose requirements, while improving the conversion efficiency (energy used per photon output) and creating various options to increase the robustness and durability of our wafer tables. High NA EUV lithography: Inspired by the film industry The anamorphic optics in our High NA EUV (0.55 NA) lithography systems are a unique solution to an intriguing problem: delivering the highest-resolution imaging without compromising on productivity. In lithography, light first hits a reticle with the blueprint of a chip layer. Projection optics then focus that light, now with the blueprint encoded in it, onto a photosensitive silicon wafer. Our High NA EUV lithography system requires larger mirrors to achieve its 8 nm resolution – but the size of the mirrors was initially causing imaging issues. Increasing the image's demagnification from 4x to 8x could have solved the problem, but would have required chipmakers to switch to larger reticles if they wanted to avoid slowing down production. Instead, we teamed up with our long-time strategic partner ZEISS Semiconductor Manufacturing Technology to find a way to minimize High NA EUV’s impact on the semiconductor ecosystem. And we found our answer in the film industry. In cinematography, anamorphic cameras squeeze recorded images in one direction, so they can capture widescreen images at full resolution on standard-sized film. Anamorphic projectors then stretch the image to display it properly on movie screens. Using this approach as inspiration, together with ZEISS we developed anamorphic optics for lithography – giving chipmakers fast, High NA EUV imaging while still using the industry-standard reticle size.


 
Public–private partnerships We work closely with private partners to develop and deliver research and innovation projects subsidized by the EU and its member states. These collaborative projects aim to advance integrated circuit (IC) technology for the semiconductor industry while adhering to Moore's Law, focusing on enhancing performance and energy efficiency. The Horizon Europe program and the European Chips Act are designed to facilitate collaboration and amplify the impact of research and innovation in the EU. ASML and its partners play an important role in enhancing Europe's sovereignty by driving fundamental research and groundbreaking innovation across Europe, the Middle East and Africa (EMEA). We believe this collaboration generates significant business value, fuels job creation and builds a robust knowledge base, as evidenced by the increasing number of patents each year from ASML and our partners. Ongoing collaboration in EU-funded projects In 2024, we continued coordinating four EU- funded projects, each with a scheduled duration of three years: Integration of processes and modules for the 2 nm node meeting power performance area and cost requirements (ID2PPAC); 14 angstrom CMOS IC technology (14ACMOS); 14 angstrom module integration (14AMI); and 10 angstrom CMOS exploration (10ACE). We kept our public partners up to date and organized consortium meetings for knowledge exchange. ASML also participates in the Key Digital Technologies Joint Undertaking (KDTJU) project SC4EU, led by Infineon Technologies AG, to improve demand forecasting in the semiconductor supply chain. Additionally, we submitted a new project proposal, ACT10, for the Chips Joint Undertaking (Chips JU), targeting EU contributions to chip technology for the next decade at the 10 angstrom node. This consortium of 32 partners spans multiple countries and is valued at over €111 million in R&D costs, unlocking an estimated amount of €53 million in public funding. The project has been approved by the KDTJU and approval by national authorities is expected early 2025. Furthermore, ASML is involved in the Chips JU project E2PackMan, also led by Infineon Technologies AG, which aims to accelerate innovations in electronic packaging manufacturing with 60 partners across Europe. In 2024, our total contribution to R&D across active EU public–private partnerships was €18.9 million, with a total investment of €70.9 million over three years, contributing to a total project size of €418.9 million. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 32 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct How we innovate (continued) We work closely with private partners to develop and deliver research and innovation projects subsidized by the EU and its member states.


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 33 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our marketplace Globally: the major election year 2024 did see easing inflation and the real GDP growth was above 3%*. A strong US GDP growth was partly offset by lower growth in Europe and Japan. Geopolitical tensions continued to be high, while AI dominated the headlines in the semiconductor ecosystem. The semiconductor market recovered from the 2023 downturn, but significant differences emerged among end markets and product groups. While the Memory market rebounded, industrial and automotive semiconductors faced corrections in 2024 and high inventory levels. The lithography market remained strong, with lower demand from key customers offset by increased shipments to China, supported by a high backlog built over previous years. After fulfilling this backlog, we anticipate a shift to more normalized sales levels to China moving forward. We have strong confidence that the semiconductor ecosystem will continue to innovate and grow at a high single-digit compound annual growth rate. Factors that may impact our business – as explained in more detail over the next few pages – include: 1. Macroeconomic and geopolitical trends 2. Megatrends 3. Semiconductor industry market *Source: IMF World Economic Outlook, October 2024 1. Macroeconomic and geopolitical trends Economic outlook Global geopolitics – technological sovereignty Description Analysts expect GDP growth to continue to stay above 3% for 2025 and 2026 with a recovery in Europe and Japan and a slight slowdown of growth in the US and China compared to 2024. This typically offers a good foundation for a positive semiconductor market trend. The 2024 market growth was dominated by AI which led to a surge in demand for AI-related Memory – both DDR (double data rate) and HBM (high-bandwidth memory) – and specific advanced Logic chips. This trend is expected to continue in 2025. The PC and smartphone markets are expected to continue to stay on the gradual growth trajectory while industrial and automotive semi markets, which did see a correction in 2024, are expected to pick up in the course of 2025. What it means for ASML Our EUV business saw shifts in demand timing, predominantly driven by a lack of end-market demand and readiness of fabs. After the inventory correction in 2023, our customers started ramping up fabs again. The digestion of all inventory took longer than initially anticipated, delaying the need for new equipment – and meaning ASML saw a slight shift in demand timing. For DUV, demand was higher than we could deliver, particularly in China and for specific models. We are working closely with our customers and suppliers to optimize our output capability, ride out the uncertainty and manage the risks. Description With the strategic importance of the semiconductor industry only likely to grow, semiconductors are crucial to the economic and strategic development of countries and regions. Many are pushing for ‘technological sovereignty’ to ensure security of supply, resilience and technological leadership in semiconductor technologies and applications – fueling capital expenditure in new regions. What it means for ASML As governments increasingly see semiconductor manufacturing as strategically significant, chips acts are incentivizing our customers to build manufacturing facilities in the US, Europe and Asia. As well as sharing our views with governments on semiconductor manufacturing, we work closely with our customers to build the semiconductor manufacturing ecosystem in these new regions, while retaining our focus on supporting incumbent regions. External factors such as the timing of subsidies and the risk of restrictions make forecasting market demand less predictable.


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 34 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our marketplace (continued) 1. Macroeconomic and geopolitical trends (continued) Global geopolitics – export controls Description On June 24, 2024, the EU Council adopted the 14th package of restrictive measures against Russia – aiming to maximize the impact of existing sanctions by closing loopholes and emphasizing the EU’s goal to stop dual-use technology flowing to Russia. The regulation entered into force on June 25, 2024, with some measures focused on circumvention of the sanctions as well as the prohibition on transferring intellectual property rights with respect to dual-use goods taking effect on December 26, 2024. ASML is not involved in export to Russia or Belarus but undertakes continuous efforts to strengthen its robust risk assessment and due diligence processes as well as its policies, controls and procedures to mitigate and manage effectively the risks of indirect exportation to Russia and Belarus. On September 6, 2024, the Dutch government published an updated license requirement regarding the export of immersion DUV semiconductor equipment. As a result of the updated license requirements, and in line with US Export Administration Regulation, ASML needs to apply for export licenses with the Dutch government rather than the US government for shipments of its TWINSCAN NXT:1970i and 1980i DUV immersion lithography systems. The Dutch export license requirement is already in place for the TWINSCAN NXT:2000i and subsequent DUV immersion systems. Sales of ASML’s EUV systems are also subject to license requirements. The updated license requirement published by the Dutch government came into effect from September 7, 2024. The Japanese regulations were also brought in line with the US and Dutch regulations on September 8, 2024. On December 2, 2024, the US authorities published an updated version of the advanced computing and semiconductor manufacturing equipment rule, imposing additional restrictions on suppliers for the export of chip manufacturing technology. These regulations became effective immediately with a delayed compliance date of December 31, 2024 for some of the changes.The updated export control regulations contain additions to the list of restricted technologies including metrology and software. In addition, further fab locations, mainly in China, were added to the US list of restrictions. What it means for ASML ASML is fully committed to complying with all applicable laws and regulations including export control legislation in the countries in which we operate, while we continue to develop our technology and serve our customers to the best of our ability. ASML will continue to work with its worldwide customers to deliver lithography and metrology systems not impacted by the global export control restrictions and/or sanctions. We continue to educate governments on the semiconductor manufacturing process and ecosystem to foster understanding of the potential impacts of current and future regulatory measures. 2. Megatrends The world is changing fast and semiconductors are a key enabler to help solve some of society's toughest challenges. In 2024, we have seen a strong growth in artificial intelligence (AI) technology, enabled by leading-edge semiconductor solutions, both in Advanced Logic as well as AI-related DRAM. AI is expected to further stimulate semiconductor solutions to tackle these big challenges and increase overall GDP growth. The continuing convergence of wireless communication, telecoms, media and cloud technology via connected devices is driving demand for advanced semiconductors across the globe. Growing populations, urbanization, the energy transition and electrification to support smart mobility are increasing demand for advanced electronic devices. AI requires leading-edge high- performance processor chips and a significant increase in DRAM memory chips compared to traditional compute architectures. It also stimulates the mainstream market, as AI requires large amounts of data collected via sensors which can be used to further drive robotics and workflow automation. Connected world • Internet of things • Hyperconnectivity • Cloud infrastructure • Edge computing Climate change and resource scarcity • Energy transition • Electrification, smart mobility • Agricultural innovation • Smarter use of limited resources Social and economic shifts • Working, learning remotely • Healthcare medical tech • Technological sovereignty • Automation


 
Internet of things Semiconductors are increasingly present in the world around us. Many of the products with semiconductors are directly or indirectly connected to the internet to maximize the benefits offered with the added silicon. AI further reinforces the value offered by these internet- connected devices as it allows them to capture data and use it to enhance the value of the device itself and also of other internet-connected devices. Hyperconnectivity 5G enables a new kind of network designed to connect almost everyone and everything around the world – including machines, objects and devices. Person-to-person, person-to-machine and machine-to- machine communication are fueling large increases in bandwidth demand and changes in communications because of the complexity, diversity and integration of new applications and devices using the network. Cloud infrastructure To enable cloud computing – the on- demand availability of computer system resources, especially data storage and computing power – a cloud infrastructure is required. This includes hardware, software, storage and network resources. Edge computing We are moving fast toward edge computing, which focuses on processing data closer to its source rather than in centralized data centers. The current era of mobile computing – where you bring the computer with you – is moving us into an immersive world of ubiquitous computing, with computing power available everywhere, driven by AI. What it means for ASML Moore’s Law is the guiding principle for the semiconductor industry and the motor behind its transition from mobile to ubiquitous computing. This transition continues to expand, driving the three main elements in computing – applications, data and algorithms – that feed each other in a virtuous cycle: applications generate data, which fuels new algorithms, which again leads to new applications that generate new data. The vast amounts of data and insights people can access are expected to fuel semiconductor business growth and the digital transformation. Climate change and resource scarcity With an urgent collective response needed to limit global warming to 1.5°C, climate change is a crucial matter for governments, companies and individuals worldwide. Energy transition The shift to renewables is helping deliver the clean, affordable energy the world needs to counter climate change. Semiconductors are harnessing, converting, transferring and storing energy from sources such as solar and wind as electricity – and ensuring national power grids are both responsive and robust. They are at the core of smart (home) devices and play an important role in reducing overall energy consumption. Electrification and smart mobility Automotive is one of the fastest-growing market segments – driven by electrification, autonomy and other megatrends. Integrated automotive systems consist of a full range of scalable, flexible computing solutions that require advanced and mature semiconductor devices. Advanced driver- assistance systems enabled by electronics and semiconductors – considered ‘supercomputers on wheels’ – are also expected to contribute to the growth of the automotive segment in the semiconductor industry. In addition, across the world, people are changing their views about personal transport. Instead of owning expensive and environmentally harmful vehicles, they’re seeking car-sharing, ride-sharing, ride-hailing, micro-mobility (using small, low-speed, human- or electric-powered transportation devices) and micro-transit (on-demand shared private or semi-public transport). The technologies underpinning this move to smart mobility, such as mobile apps, are all enabled by semiconductors. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 35 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our marketplace (continued) Connected world With the IoT, smart, connected networks of more energy-efficient devices seamlessly communicate over powerful 5G networks – unleashing the power of unprecedented data volumes better and faster than ever. In combination with AI, this provides people with more innovative functionalities and applications, improves human-to-machine interactions, and enhances data management and analytics. 2. Megatrends (continued)


 
Climate change and resource scarcity (continued) Agricultural innovation Farmland in remote locations, particularly those with emerging economies, can be vulnerable to climate change. With access to mobile devices increasing, local farmers are using their smartphones in combination with smart sensors to improve agricultural knowledge and decision-making. The results are better crops and greater, more sustainable food security – enabled by smaller, more affordable microchips. Smarter use of limited resources The semiconductor industry can also play an important role by reducing its own climate impacts. The semiconductor manufacturing process consumes large volumes of energy and water, and driving Moore’s Law to enable shrink and improve computing power and storage capacity fuels demand for these vital resources. Innovative architectures and a new way of looking at the entire ecosystem will be required to enhance the industry’s energy and water resource efficiency. What it means for ASML Semiconductors play an important role in addressing climate change across various sectors. In the automotive industry, a shift toward electric vehicles and autonomous driving is expected to significantly increase the number of semiconductor components in cars. Additionally, the integration of digital technologies to support the energy transition and agricultural innovations relies on semiconductor solutions to enable smart grids and enhance agricultural practices. By advancing our EUV productivity roadmap, we help customers simplify complex multiple-patterning layers into a single exposure, thereby reducing resource consumption in the semiconductor manufacturing process. Read more in Sustainability statements – Environmental Social and economic shifts Digital technologies are driving transformative change. They create new opportunities for a more prosperous future, but at the same time pose new challenges. Working and learning remotely Since the emergence of the COVID-19 pandemic, remote and hybrid working and learning have become increasingly prevalent. Healthcare and medical tech Predictive analysis of health data from multiple sources, combined with machine learning and AI, is being harnessed to improve healthcare services and patient outcomes. Semiconductor technology has allowed the creation of innovative products that can effectively detect, diagnose and treat various medical conditions. Automation A new generation of lightweight robots connected to a wide network and fitted with smart sensors enable humans and machines to safely and efficiently work side by side, supported by AI. In addition, smart industry devices use real-time data analytics and machine-to-machine sensors to optimize processes, predict bottlenecks, and prevent errors and injuries. What it means for ASML The ongoing digitalization of various sectors such as healthcare and manufacturing keeps on driving the need for semiconductors. The integration of digital technologies in these industries requires robust semiconductor solutions to enable efficient data processing, real-time analytics and connectivity. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 36 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our marketplace (continued) 2. Megatrends (continued)


 
3. Semiconductor industry market Semiconductor technology plays a crucial role in shaping the interconnected and intelligent network future – and we believe end markets will continue to grow. The industry’s historical market compound annual growth rate (CAGR) from 2013 to 2023 was 6%. In 2023, almost 1 trillion chips were shipped around the world, feeding a $527 billion industry. In 2024, the semiconductor market recovered, led by strong demand for AI servers and overall recovery of memory chip pricing. The PC and smartphone market did see a recovery, though not as strong as initially expected, while the industrial and automotive chip markets were still in the middle of a correction. We expect that the microchip market will continue to grow in line with a 9% CAGR from 2025 to 2030 and surpass $1 trillion by 2030. The global annual wafer capacity is expected to be 780,000 wafer starts per month per year in this five-year time frame. Compared to the expectations set at the 2022 Investor Day, we now expect more weighting to advanced Logic (≤7 nm and below nodes) and advanced DRAM, required to support AI-related applications, and less weighting on NAND and mainstream wafers. We believe this mix change can be favorable for ASML, given that advanced Logic and DRAM are more lithography-intensive than NAND and mainstream. Logic and Memory markets explained The semiconductor market can be broadly divided into two segments based on the types of chips they produce: the Logic market and the Memory market. The largest semiconductor manufacturers serve both markets, producing chips in dedicated Logic or Memory fabrication plants (fabs). Logic chips are processors, such as CPUs (central processing units) and GPUs (graphics processing units). They are the ‘brains’ of electronic devices, processing input and output results. They are produced by two groups of manufacturers: integrated device manufacturers (IDMs), which design and manufacture Logic chips; and contract manufacturers, known as foundries. Foundry manufacturers produce chips for ‘fab-less’ companies that focus on chip design and distribution, but do not manufacture microchips themselves. Memory chips can store large amounts of data in a very small area. There are two main types: volatile memory chips such as DRAM, which efficiently provide data to the processor and only save data when the device is turned on; and non-volatile Memory chips such as NAND Flash, which save data even after the device is turned off. Microchips vary in complexity depending on the task they need to fulfill. For example, the most advanced chips power leading-edge technology such as AI, big data and automotive technology, while simpler, low- cost chips such as sensors integrate sensing capabilities into everyday technology – creating the vast network of connected devices known as the internet of things (loT). The simplest types of chips can be made with more mature lithography technology, whereas manufacturers of the most complex chips need to use the latest EUV lithography systems. Generative AI gained a lot of traction during 2024, resulting in strong demand for GPU chips (Logic) and high-bandwidth memory (HBM) among our customers. Both products are still a small portion of the overall Logic and Memory market, but this is expected to grow fast in the coming years. At our 2024 Investor Day, we presented our expectations on the semiconductor end markets (as shown on the next page). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 37 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our marketplace (continued)


 
3. Semiconductor industry market (continued) Smartphone Personal computing Consumer electronics Automotive Industrial electronics Wired and wireless infrastructure Servers, data centers and storage Key driver Continued refresh of all semiconductor content including image sensors and edge AI processors High-end compute and Memory, fast conversion to solid-state drive (SSD), edge AI processors Both low-power and high-bandwidth connectivity, sensors High-end processors for autonomous driving and power electronics for engine electrification Connectivity, edge processors, sensors, power (control) electronics for the energy transition, and high- end processing for robotics Continued innovation to increase bandwidth and reduce latency, requiring high-end processing AI requiring high-end processing and DRAM, and cloud processing requiring advanced processing, NAND and DRAM 2025 estimated market size ($bn) Total 149 92 70 76 84 53 156 679 2030 estimated market size ($bn) 192 112 83 114 120 70 361 1,051 Outlook CAGR 2025–2030 (%) 5% 4% 3% 9% 7% 6% 18% 9% Source: Based on ASML analysis STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 38 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our marketplace (continued)


 
Our purpose is to unlock the potential of people and society by pushing technology to new limits. Our vision is that we enable groundbreaking technology to solve some of humanity’s toughest challenges. Our market opportunity Based on different market scenarios shared during our 2024 Investor Day, we presented an opportunity to achieve the following: 2030 €44–60bn Annual revenue 56–60% Gross margin STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 39 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our business strategy Our business strategy consists of six priorities that will drive long-term growth Deepen customer trust Extend our technology and holistic product leadership 1 2 • Innovate on our entire portfolio to continue to provide critical, differentiated and cost-effective solutions to our customers • Enable chipmakers in their pursuit of more powerful, smaller, cheaper, more integrated and more energy- efficient chips, with an affordable and holistic lithography roadmap across the entire ASML portfolio • Place cost and energy consumption reduction at the core of value creation for customers by continuing to simplify process flows, ensuring the highest transistor density at all process steps, and promoting technologies that scale improved productivity, lower costs of technology for customers and reduce emissions • Maximize good printed transistors from lithography by: a. Maximizing yield with AI-based process control, metrology and inspection b. Optimizing resolution with our DUV and EUV portfolio c. Enhancing productivity with system throughput and efficiency improvements d. Improving accuracy with solutions for overlay, critical dimension uniformity and EPE e. Support our customers’ front end 3D integration with holistic lithography • Deepen customer trust and satisfaction through increased value creation, focused on innovation, cost, quality, sustainability and response time • Strengthen partnerships with customers based on even deeper understanding and anticipation of their needs and product roadmaps • Increase the bandwidth, responsibility and accountability of our customer teams to deliver on customer requirements and carry the customer voice throughout the entire organization • Simultaneously optimize total lithography cost by: a. Improving system cost with increased platform commonality b. Increasing system extendibility and improve lifetimes c. Reducing service and utility costs


 
3 Strengthen ecosystem relationships • Foster even closer relationships with our suppliers and broader ecosystem, based on shared goals and responsibility for cost, quality and sustainability outcomes 4 Create an exceptional workplace • Build a workplace that works for everyone: Fostering inclusion, diversity and belonging • Invest in people effectiveness and development • Strengthen our leadership: Accelerating development and building our future pipeline as of today 5 Drive operational excellence • Create a learning organization that drives a culture of continuous improvement with fast feedback loops and a sustainable impact on our safety, quality, cost and delivery performance • Drive cross-company business performance improvements to reduce cost, cycle times, improve quality and secure on-time delivery • Optimize our industrial footprint to have market, talent and technology access while protecting our know-how and our business • Secure a successful ERP migration to enable scaling and drive improvements in cost, quality and compliance • Protect and defend ASML interests and reputation by driving a culture of integrity and compliance, including for products, information security, cyber resilience and export controls Deliver on our ESG sustainability mission and responsibilities6 Environmental Continue to expand computing power but with minimal waste, energy use and emissions Social Ensure that responsible growth benefits all our stakeholders Governance Act on our responsibilities and aim to fully anchor them in the way we do business through our focus on integrated governance, engaged stakeholders and transparent reporting STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 40 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our business strategy (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 41 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our business model: What we need to create sustainable long-term value The depth and breadth of our resources and the relationships we build are key to our continued success in growing a sustainable business and a holistic approach to lithography. People and culture Manufacturing facilities We depend on more than 44,000 talented, dedicated and motivated employees who live our values of challenge, collaborate and care. Every day, our colleagues in R&D, manufacturing, customer support, sourcing and supply chain, and support functions take on the exciting challenge of building and maintaining the most advanced lithography, metrology and inspection systems in the world. We have eight factories in Europe, the US and Asia that provide high-precision, highly controlled environments where we assemble, test and deliver our complex lithography and metrology and inspection portfolio, from prototype to final product. Read more on page 255 > Read more on pages 20 > Capital Innovation We have strong capital reserves, underpinned by a robust balance sheet. Total shareholder equity at the end of 2024 amounts to €22.0 billion on a consolidated balance sheet total of €52.6 billion and net cash provided by operating activities of €12.4 billion in 2024. In 2024, we spent a total of €4.3 billion in R&D. But we do not innovate alone – our almost 16,000 R&D employees collaborate closely within an innovation ecosystem of key partners in the value chain. Our lithography solutions are the result of strong partnerships based on trust, respect, and shared risks and incentives to compete and drive innovation. Read more on pages 330, 333 > Read more on page 29 >


 
Our position as a leading supplier of holistic lithography enables us to create value across the entire value chain. Our holistic lithography portfolio – based on the intelligent integration of lithography systems, computational lithography, metrology and inspection, and process control software solutions – keeps the scaling of microchips affordable for our customers. At ASML the customer always comes first – and our solutions are based on their input. We help our customers generate the greatest value per silicon wafer, creating microchips that are more powerful, faster and more energy-efficient. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 42 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our business model: How we create sustainable long-term value With more than 10,000 customer support employees, including service engineers and applications specialists, we work round the clock to make sure our systems in our customers’ fabs are running smoothly. Through installed base management, we aim to reduce the cost of ownership of our systems in the field with a wide range of service and upgrade options Innovation and R&D Customer support and installed base management System integration and installation Together with our customers, suppliers and partners across our innovation ecosystem, we innovate the most advanced lithography systems in the world. As system architects and integrators, we work together with our world-class supplier network to support our customers in making smaller, faster and more energy-efficient microchips, while driving down the cost per wafer. Holistic lithography Helping our customers generate the greatest value per silicon wafer, creating microchips that are more powerful, faster and more energy-efficient.


 
Customers Employees Suppliers Shareholders Society Our world-leading lithographic systems enable our customers to develop ever-more powerful and energy-efficient chips for new applications and devices. At the same time, we help our customers reduce costs and their environmental footprint. ASML is a growth business providing employment opportunities around the world. We invest in people’s career development and well-being, and aim to provide a diverse and inclusive environment where they can achieve their full potential. Our suppliers help deliver our innovations and are critical to our value chain and our ambition to be a sustainable leader in the semiconductor industry. Long- term relationships, close collaboration, transparency and a commitment to sustainability with our suppliers are key to our success. The effective and disciplined investment of cash flow drives the profitable growth of our company, and delivers solid financial performance and a healthy financial position. This underpins our ability to return cash to shareholders through growing dividends and share buybacks. We play an active role in the communities where we operate – recognizing that, when the community thrives, so do we. We believe our collaborative ecosystem nurtures innovation and benefits society. For example, we share our expertise with universities and research institutes, support young tech companies and promote science, technology, engineering and mathematics (STEM) education worldwide. We are also committed to creating sustainable value by reducing our environmental footprint – both from our operations and during the use of our products and services. €28.3bn 78.9% 5,150 €12.4bn €1,084 88% Total net sales Employee engagement score (three-year rolling average) Number of suppliers Net cash provided by operating activities Amount invested per employee, including employee giving Reuse rate of parts returned from field and factory 583 21% 91% €6.40 €18.9m 32.8 kt Net system sales (in units) Women in entire workforce (headcount) Responsible Business Alliance (RBA) self-assessment completed (in %) Proposed annualized dividend per share Contribution to EU research projects Emissions from manufacturing and building (scopes 1 and 2) 86% 3.8% 100% €0.5bn 12.0 Mt Customer satisfaction survey score Attrition rate Suppliers with overall high risk evaluated and follow-up agreed (in %) Share buyback Indirect emissions from total value chain (scope 3) STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 43 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our business model: The sustainable long-term value we created in 2024 Our success depends on strong, sustainable relationships with all stakeholders in the value chain. We aim to create sustainable long-term value for them, and to use their input to develop our strategy, products and services.


 
We listen to our stakeholders – customers, employees, suppliers, shareholders and society – and work with them to make the right decisions. Our stakeholders – and our interaction with them – is fundamental to the long-term success of our business. By regularly engaging with them, we can better understand our impact on them, and their respective needs and expectations. Page 166 > STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 44 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Engaged stakeholders


 
Customers At each stage of the customer relationship we aim to foster trust, advocacy and continuous engagement – with the goal of achieving high customer satisfaction and loyalty. As customer requirements become more complex, it takes longer to align with a shared vision, so we seek to start earlier in the process. By placing our customer relationship at the center of our work, we can leverage our innovations and develop even more sophisticated solutions alongside them. What’s happening in their world As described in the Our marketplace section earlier in this report, macroeconomic uncertainty – including technological sovereignty and export controls – led certain customers to remain cautious and control capital expenditure and cash flow more carefully in 2024. How we respond We’re working closely with our customers to optimize our output capability, navigate through the uncertainty and manage the risks. We’re engaging with them to mutually understand the affordability of different technologies and, through regular meetings and reviews, we’re aligning on their current and future needs to adjust our demand plans while staying flexible for the expected coming upturn. We’re also continuing our capacity investment plans to meet our customers’ long-term growth targets and, in compliance with export control regulations, we’ve been working to deliver the non-advanced lithography systems not impacted by the new restrictions. We continue to guide governments on the semiconductor manufacturing process and ecosystem to foster understanding of the potential impacts of current and future regulatory measures. We’ve deployed improvement actions identified in our 2023 customer survey, focusing on truly understanding what customers need from us, and validating that we are on the right track. We update our customers regularly on the progress we are making with respect to the improvement actions. In September 2024, we sent out our latest survey – to measure customer satisfaction, loyalty and trust and to identify improvement areas to enable us to better serve our customers. Survey results showed stable high levels of trust in ASML, mainly driven by our transparency and commitment to fairness and mutual success. Customers ask us to listen closely to their feedback, resolve issues in a timely manner, provide them with shorter delivery times for good-quality products and continue pushing the technology forward to meet their current and future needs. How we engage • Regular meetings with customers, including: – Technology review meetings, where our senior technology experts, our Chief Executive Officer (CEO) and our Chief Customer Officer (CCO) discuss technology roadmaps and requirements with customers – Executive review meetings, where members of our senior management and Board of Management discuss business and strategies with customers – Operational review meetings, where we review topics related to our customers’ operational activities • Annual customer feedback survey • Voice of the Customer program, which provides firsthand feedback about our customers’ needs and challenges for employees without direct access to them • Various technology symposia and special events Read more in Strategic report – 2024 stories – Powering technology forward with customers STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 45 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Engaged stakeholders (continued) At ASML, we focus on our customers’ needs There are thousands of ASML systems installed in customer fabs across the globe. Our customers want to keep these machines running 24 hours a day, seven days a week, 365 days a year. With around 10,000 customer support employees, including service engineers and applications specialists, we work round the clock to make sure our systems in our customers’ fabs are running smoothly. Our customers are why we exist. We collaborate with customers at all levels of the organization – from CEO-to-CEO interaction right through to on-the-ground support at individual fabs – to help them achieve their goals and ensure our solutions perfectly fit their requirements.” 86% Jim Koonmen Executive Vice President and Chief Customer Officer Customer satisfaction score


 
Employees We strive for engaged employees who are proud to work for ASML and committed to our vision and ambitions. Innovation thrives in an environment where everyone is empowered to contribute. By creating an exceptional workplace that fosters inclusivity, we aim to enable everyone to unlock their full potential and drive our collective success. What’s happening in their world We have grown rapidly in recent years and anticipate continued expansion in our workforce to meet industry demand. At the same time, there is a global talent shortage, particularly in our industry, alongside rising employee expectations about work-life balance and the need for a sense of purpose and belonging at work. In 2024, we introduced a new leadership and governance structure, requiring further focus on strategic alignment and providing employees with clear direction and insight into future goals. Our annual employee engagement survey provided insights into the themes our employees want us to focus on: inclusion, well-being and career development, as well as work processes, collaboration and alignment of the strategic topics. How we respond Just as our technological ambitions continue at pace, so do our aspirations for building an exceptional workplace that works for all. We are building on a solid foundation of recent improvements and the strength of our culture and values to scale up ASML, aiming to create the best place for our people to innovate, make an impact and grow. We have a new people strategy that answers the challenges and opportunities of our growth and the evolving nature of global work, as well as the themes raised by the engagement survey. Read more in Strategic report – 2024 stories – Powering technology forward with our people How we engage Direct engagement: • Employee engagement survey (annually) • Develop and perform cycle including employee feedback and performance reviews (annually) • Learning programs (on occurrence) • ASML's Speak Up Service (on occurrence) • ASML's EHS incident management (on occurrence) • Employee networks, such as Women, Seniors, Atypical, early career, multicultural and workers of all national origins, LGBTQIA+, Parents and Veterans (on occurrence) • ASML ambassador communities, aiming to attract and inspire talent, promote well-being and engage colleagues (on occurrence) • Internal communication and awareness, for example, through the intranet, our ethics program and myEHS (daily) • Onboarding program for new employees (upon joining) • All-employee meeting and senior management meetings, department employee meetings and interactive lunch sessions with Board members (on occurrence) • Employee Relations (on occurrence) Engagement via representation: • Works Council/unions (on occurrence) STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 46 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Engaged stakeholders (continued) We have exceptional talent and need an exceptional workplace where our talent can achieve great things, to move ASML to our next success.” Cristina Monteiro Head of Human Resources & Organization 87% 54% of new colleagues starting in 2024 indicated they had a positive onboarding experience of our employees have been in the company less than five years 29% of our employees today are not nationals of the country they work in


 
Suppliers We engage with our suppliers to help deliver our innovations. They are critical to our value chain and our ambition to be a sustainable leader in the semiconductor industry. What’s happening in their world Over recent years, the world of our suppliers has been turbulent. Geopolitical uncertainties have disrupted our supply chain due to reduced material availability and rising prices. Additionally, inflationary pressures have affected our suppliers in raw materials, energy and wages. Despite market uncertainties, suppliers are required to build up further capacity for future growth while putting pressure on cost, quality and ESG performance. Our future growth – and that of our customers – can only be met if our suppliers are capable and willing to keep up. How we respond We want to build and maintain strong business relationships with our suppliers, based on mutual trust. We listen to our suppliers when they openly share their pain points and challenges, and are implementing improvements relating to quality issues, early supplier involvement during the industrialization phase of new product introductions, reducing cycle time and cost, planning with our suppliers and ESG sustainability. Read more in Strategic report – 2024 stories – Powering technology forward with suppliers How we engage • ASML Suppliers' Day • Direct interactions via supplier account teams/ sourcing account leaders • Supplier audits • Site visits • Supplier newsletter • Responsible Business Alliance (RBA) self-assessment questionnaire (SAQ) • ASML's Speak Up Service • Knowledge sessions on ESG sustainability • ASML’s Supplier Collaboration Day STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 47 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Engaged stakeholders (continued) Working with our suppliers By partnering closely with and supporting our suppliers, we aim to ensure that they’re prepared to work with us for years to come – and to weather the changes that the chip industry is known for, including periods of rapid growth and business-cycle fluctuations. Enabling our supply chain to grow with us toward our 2030 targets calls for an evolution in how we work with our suppliers.” Wayne Allan Executive Vice President and Chief Strategic Sourcing & Procurement Officer The top 35 of our 5,150 suppliers make up 80% of our total sourcing spend


 
Shareholders We aim to help shareholders – as well as financial and ESG sustainability analysts – understand our long-term investment strategy. We communicate with them about our financial growth strategies and opportunities, our financial and ESG sustainability performance, our outlook and our shareholder returns. What’s happening in their world For investors in the semiconductor industry, 2024 was a dynamic year and it was expected to be a transition year in preparation for anticipated strong growth in 2025. There were quite some dynamics that took place over the course of the year. However, the growth in AI is still a key driver for growth in the semiconductor industry. It has created a shift in the market dynamics that is not benefiting all of our customers equally, which creates both opportunities and risks. Geopolitical announcements regarding export control restrictions and customer capital expenditure cuts created volatility in the investment community. How we respond During the year, ASML’s management and Investor Relations team actively engaged with our investor community to discuss specific topics relevant to our equity story. We actively engage with the investor community via a large number of (ESG-related) conferences, roadshows and conference calls. On November 14, 2024 we hosted an Investor Day to update the financial market on our company's growth opportunities. We also encourage investors to visit our Veldhoven (NL) or Wilton (US) facilities in person to discuss and see our capacity expansion plans, as well as our technology challenges and opportunities in our ASML Experience Centers. How we engage • AGM • Investor and analyst calls, and Investor Days • Company quarterly results presentations and press releases • Various (ESG) investor conferences and roadshows • Various sustainability questionnaires, assessments and survey feedback tasks • Direct personal interactions in line with our Bilateral Contacts Policy, as published on our website • Engagement meetings with investors associations (e.g. VEB, Eumedion, VBDO) STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 48 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Engaged stakeholders (continued) Positioned for significant growth Our continued investments in technology leadership have created significant shareholder value. Expected growth in semiconductor end markets and increasing lithography spending on future nodes fuel demand for our products and services. We will continue to invest in our business and expect to return significant amounts of cash to our shareholders through growing dividends and share buybacks. €3.0 billion Returned to shareholders through dividends and share buybacks.


 
Society We know that our actions and activities have an impact beyond ASML – on the environment, for example, and on the world around us in its broadest sense, which is how we define society. We engage with organizations, communities and other bodies in society on a wide range of issues – from reducing our environmental footprint to regulatory matters and fulfilling our commitment to playing an active role in the communities where we operate. What’s happening in their world Increasingly, the local community feels the impact of the rapid development of our headquarters in the Brainport Eindhoven region – home to around half of ASML’s employees. Our community stakeholders expect us to take on our fair share in keeping the region attractive and inclusive for all community members, with sufficient affordable housing, sustainable transportation, a strong (technology) education system for all and opportunities for the underserved. In addition to this, we want to help newcomers integrate and feel at home in our region. Meanwhile, our headquarter campus expansion should take into account the interests of our close neighbors. How we respond Our Community Partnership Program focuses globally on four areas: boosting the attractiveness of local communities; aiming to keep these communities inclusive; supporting science and technology education; and supporting ESG innovation. Within these areas, ASML and our stakeholders have identified and formed 17 program strategies that we began to execute during 2023. Read more in Sustainability statements – Social – Valued partner in our communities We operate in an international industry with a global value chain, where strong incentives to compete and drive innovation are key. We work with and collaborate with governments on all levels (national, regional and local) to ensure our growth and objectives are clear and can be supported. Read more in our ASML Government & External Affairs Report at asml.com How we engage Direct engagement: • External survey of Brainport Eindhoven (quarterly) • Online via social media and websites (global and local such as ASML Dichtbij) (daily) • Dedicated phone lines, online forms and email addresses including directly with our ‘omgevingsmanager’ (on occurrence) • Events, open-house, town halls and local information sessions (on occurrence) • Newsletters, community relations and ongoing community outreach programs (on occurrence) • ASML's Speak Up Service (on occurrence) Engagement via representation or credible proxies with industry unions and associations (on occurrence): • Member conferences and technical forums • Member consultation on standards • Brainport Eindhoven (six-week intervals) Engagement with governments and authorities (on occurrence): • Dialogue with tax authorities • Relevant EU roundtable discussions • Compliance reporting • Proactive dialogue with government and municipalities Read more in Strategic report – 2024 stories – Powering technology forward with local communities STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 49 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Engaged stakeholders (continued) Building community connections At our first community conference (ASML Maatschappelijke Conferentie 2024), we strengthened ties with the local community in the Brainport Eindhoven region. Around 200 representatives from local government and social organizations in the field of education, sports, arts and culture joined us to discuss key issues, such as inequality, labor shortages and housing, as well as the ambition and coherence of our society investment programs. The insights gained will guide our future agenda and approach.


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 50 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Performance and risk Performance 51 Message from our CFO 54 Performance KPIs 59 Long-term growth opportunities Risk 61 How we manage risk 65 Risk factors


 
Dear Stakeholder, Our results for 2024 were in line with the previous year, consistent with guidance. As we forecasted, this was a period of transition where we continued to make significant investments in technology and ramping up capacity to ensure that we are ready to support our customers through the industry upturn. As we have seen in 2024, artificial intelligence is clearly the key driver of growth in the semiconductor industry. However, we believe it is creating a shift in the market, with some of our customers benefiting more than others, which creates both opportunities and risks leading to some customer cautiousness. Total net sales rose by €0.7 billion, or 2.6%, reflecting a decrease in net system sales of 0.8%, and an increase in net service and field option sales of 15.6% compared to 2023. The decrease in net system sales was primarily due to lower NXE (EUV 0.33 NA) sales. This was partially offset by the introduction of our latest NXE value proposition, the TWINSCAN NXE:3800E, which we successfully delivered to multiple customers in 2024. Furthermore, lower NXE system sales were partially offset by the successful delivery of the first High NA EUV (EUV 0.55 NA) lithography system and greater demand for DUV immersion systems. Regarding net service and field option sales, the rise was largely due to improved net service sales, which continue to scale as a result of a growing installed base of systems and higher system utilization levels at certain customers. The gross margin increased slightly compared to previous year. Gross margin was positively impacted by growth in our installed base business, partially offset by the dilutive impact of the first High NA EUV lithography system deliveries. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 51 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct A year of transition and preparation, ahead of the upturn to come Message from our Executive Vice President and Chief Financial Officer Roger Dassen We delivered on our expectations in spite of the challenges.”


 
Managing the cycles of our industry The semiconductor industry has always been cyclical, with the peaks and troughs driving a sharp focus on cost and cash management in the short term while preparing the ground for the growth opportunities throughout the entire ecosystem in the longer term. While artificial intelligence (AI) continues to be a growth driver for the semiconductor industry, this is not benefiting all customers equally in the short term. This, combined with competitive foundry dynamics, has led to several fab push-outs and consequent changes in lithography demand timing, in particular for EUV. In terms of our Memory business, customers have limited their capacity additions, with greater emphasis on the technology transition supporting high-bandwidth memory (HBM) and DDR5 (double data rate 5) AI-related demand. However, ASML is very much a business focused on the long term. Led by AI together with the energy transition and electrification, the industry growth drivers will continue to expand the application space for both advanced and mature nodes. Therefore, we remain confident about growth opportunities in the long term. Realizing the potential of AI AI has the potential to be the next big driver of productivity and innovation for the wider society. Today, we see industries across the board preparing to incorporate AI capabilities in their upcoming critical applications. This in turn is translating into major investments in the field of high- performance computing. This emergence of AI represents a significant growth opportunity for semiconductors, similar to what we saw across previous computing waves (PC, internet and smartphone). However, the AI- led demand for computing power is increasing faster than that supported by Moore’s Law, which in turn gives rise to power consumption and cost challenges. Unleashing the full potential of AI will require us to overcome these challenges – which, from a semiconductor viewpoint, implies an acceleration of the advanced Logic roadmap as well as improved performance and energy efficiency of the DRAM Memory architecture. Therefore, on balance, we anticipate a steady pace of AI adoption in the coming years, contributing toward our expectation of overall worldwide semiconductor sales crossing $1 trillion by 2030. In terms of end markets, we see servers, data centers and storage as the key initial beneficiary of this emergence of AI, with associated semiconductor sales for this end market expected to exceed $350 billion by 2030. Transforming our business processes AI is not only driving our markets – it is also transforming how we work internally, in line with our goal of leading AI innovation in the semiconductor equipment industry. We are developing a comprehensive strategy that aims to harness the potential of both predictive and generative AI across various domains – driving innovation, improving efficiency and seizing competitive advantage. This strategy, supported by the appointment of our – first – Head of AI Program & Strategy in June 2024, focuses on capturing key opportunities in four areas: speed and quality in R&D; excellence in product leadership and support; speed and quality in operations; and enabling capability and efficiency. Among its most notable achievements of the last 12 months, the AI program prioritized over 40 opportunities where AI could help us work better and faster. Our responsible AI program will now concentrate on developing the overarching strategy, building an integrated roadmap, and providing governance through oversight and coordination. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 52 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct A year of transition and preparation, ahead of the upturn to come (continued) Message from our Executive Vice President and Chief Financial Officer Roger Dassen €28.3bn Total net sales 50.5% Gross margin €3.0bn Returned to shareholders “We believe that the years ahead will see a significant uptick in the market.” Roger Dassen Executive Vice President and Chief Financial Officer


 
Supporting our ESG commitments For our finance team, one of the year’s most demanding workstreams centered on preparing for the European Sustainability Reporting Standards (ESRS), and required a substantial investment in resources. Thanks to the commitment and expertise of our people in meeting an extremely demanding deadline, I am pleased to say that this Annual Report is in accordance with ESRS requirements. We took ESRS very seriously right from the time it was first announced, beginning with focusing on a gap assessment and organizational readiness check in 2022. This was followed by a robust, well-governed project based on collaboration by teams across the entire breadth of ASML. While ESRS compliance necessitated a great deal of hard work and skill from our team, it has brought new rigor to how we manage ESG and enabled us to accelerate our ESG sustainability strategy. With improved and expanded data, processes and disclosures in place, ESRS has given us greater insight into how we can contribute to the sustainability of our supply chain and customers as well as within our own organization. Engaging with our communities I believe that when we invest in our communities, we not only contribute to their well-being, but also create a positive environment where our employees can thrive. We want to create a shared future where everyone benefits. As a major employer, we have a significant impact on the regions where we operate. In addition to recognizing our responsibility to act as good and supportive neighbors, we also know that we have the resources and influence to make a real difference to the lives of people well beyond the boundaries of our organization. We aim to balance our growth with social responsibility, ensuring that we share our success while addressing the challenges that come with it. Our activities are organized through our Community Partnership Program with a focus on four key areas: boosting the attractiveness of local communities; aiming to keep these communities inclusive; supporting science and technology education; and supporting ESG innovation. During 2024, we invested €45.2 million in community projects, including a collaboration with local partners that aims to add affordable homes to the Brainport Eindhoven area, alleviating some of the pressure that our growth puts on the housing market. Looking ahead Our customers are fundamental to our strategy, and we believe that lithography will continue to play a crucial role in driving their innovation forward. Our flexible and versatile portfolio is well positioned to meet all our customers’ needs. We’re expanding holistic lithography to support 3D front-end integration, enhance DUV and EUV performance and cost-effectiveness, and scale EUV technology well into the next decade. Looking ahead to 2025, we anticipate total net sales between €30 billion and €35 billion, consistent with previous guidance. We continue to invest heavily in R&D, positioning ourselves to capitalize on the anticipated growth in the semiconductor market, which could exceed $1 trillion by 2030, driven largely by AI advancements. We aim to capture significant opportunities in this expanding market, as we anticipate that an increased number of critical lithography exposures for advanced logic and memory processes will be required. Regarding our net service and field option sales business, we anticipate revenue growth compared to 2024, fueled by increased service and upgrade activities linked to our expanding installed base. EUV technology in particular is playing an increasingly significant role in driving this growth. Toward 2030, we see growth scenarios leading to an opportunity to achieve 2030 annual revenue between approximately €44 billion and €60 billion. We will maintain a consistent and disciplined capital allocation policy prioritizing growth and other necessary investments, then growing dividends and then share buybacks. Overall, our long-term outlook remains bright, supported by strong market dynamics and a robust products and services roadmap. Roger Dassen Executive Vice President and Chief Financial Officer STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 53 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct A year of transition and preparation, ahead of the upturn to come (continued) Message from our Executive Vice President and Chief Financial Officer Roger Dassen We aim to balance our growth with social responsibility, ensuring that we share our success while addressing the challenges that come with it.” Roger Dassen Executive Vice President and Chief Financial Officer


 
The figures in the table below are based on US GAAP, as ASML measures its performance and externally reports quarterly to stakeholders in accordance with US GAAP. Sales Profitability Liquidity Total net sales Gross profit % of total net sales Cash and cash equivalents and short-term investments (year end) €28.3bn €14.5bn 51.3% €12.7bn 2023: €27.6bn 2023: €14.1bn 2023: 51.3% 2023: €7.0bn Net system sales Income from operations Net cash provided by operating activities €21.8bn €9.0bn 31.9% €11.2bn 2023: €21.9bn 2023: €9.0bn 2023: 32.8% 2023: €5.4bn Net service and field option sales Net income Free cash flow2 €6.5bn €7.6bn 26.8% €9.1bn 2023: €5.6bn 2023: €7.8bn 2023: 28.4% 2023: €3.2bn Sales of lithography systems (in units)1 Earnings per share 418 €19.25 2023: 449 2023: €19.91 EUV systems recognized (in units) 44 2023: 53 1 Lithography systems do not include metrology and inspection systems. 2 Free cash flow is a non-GAAP (generally accepted accounting principles) measure and is defined as net cash provided by operating activities (2024: €11,166.2 million and 2023: €5,443.4 million) minus purchase of property, plant and equipment (2024: €2,067.2 million and 2023: €2,155.6 million) and purchase of intangible assets (2024: €15.9 million and 2023: €40.6 million). We believe that free cash flow is an important liquidity metric for our investors, reflecting cash that is available for acquisitions, to repay debt and to return money to our shareholders by means of dividends and share buybacks. Purchase of property, plant and equipment and purchase of intangible assets are deducted from net cash provided by operating activities in calculating free cash flow because these payments are necessary to support the maintenance and investments in our assets to maintain the current asset base. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 54 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Performance KPIs


 
A reconciliation of net income in accordance with US GAAP and EU-IFRS is set forth below: Year ended December 31 (€, in millions) 2023 2024 Net income in accordance with US GAAP 7,839.0 7,571.6 Capitalization of development expenditures and related amortization, net of tax 553.9 751.1 Income tax on intercompany profit elimination, share-based payments and uncertain tax positions (102.0) 26.3 Short-term incentive accrual (175.7) — Net income in accordance with EU-IFRS 8,115.2 8,349.0 The Consolidated financial statements included in this Annual Report are based on EU-IFRS, therefore, the results of operations analysis set out in the remainder of this section is based on EU-IFRS. Operating results of 2024 compared to 2023 Year ended December 31 (€, in millions) 2023 %1 2024 %1 % Change Net system sales 21,938.6 79.6 21,768.7 77.0 (0.8) Net service and field option sales 5,619.9 20.4 6,494.2 23.0 15.6 Total net sales 27,558.5 100.0 28,262.9 100.0 2.6 Cost of system sales (10,439.1) (37.9) (10,615.1) (37.6) 1.7 Cost of service and field option sales (3,345.0) (12.1) (3,364.0) (11.9) 0.6 Total cost of sales (13,784.1) (50.0) (13,979.1) (49.5) 1.4 Gross profit 13,774.4 50.0 14,283.8 50.5 3.7 Research and development costs (3,113.1) (11.3) (3,181.0) (11.3) 2.2 Selling, general and administrative costs (1,149.4) (4.2) (1,165.7) (4.1) 1.4 Operating income 9,511.9 34.5 9,937.1 35.2 4.5 Finance income 193.9 0.7 182.4 0.6 (5.9) Finance costs (152.7) (0.6) (162.6) (0.6) 6.5 Income before income taxes 9,553.1 34.7 9,956.9 35.2 4.2 Income tax expense (1,629.2) (5.9) (1,817.7) (6.4) 11.6 Income after income taxes 7,923.9 28.8 8,139.2 28.8 2.7 Profit (loss) related to investments in associates 191.3 0.7 209.8 0.7 9.7 Net income 8,115.2 29.4 8,349.0 29.5 2.9 1. As a percentage of total net sales. Total net sales In 2024, our total net sales further increased by €0.7 billion, or 2.6%, reflecting a decrease in net system sales of 0.8%, and an increase in net service and field option sales of 15.6% compared to 2023. Net sales growth (in billions) €28.3 €27.6 €13.2 €16.0 €8.6 €6.0 €6.5 €5.6 Logic Memory Service and field options 2024 2023 Regarding Logic, net sales decreased by €2.8 billion, mainly driven by competitive foundry dynamics which have resulted in a slower ramp of new nodes among certain customers, leading to several fab push-outs, affecting the timing of EUV shipments in particular. In Memory, net sales increased by €2.6 billion, mainly driven by technology transitions, especially related to high-bandwidth Memory and DDR5, which is primarily the result of AI-related Memory demand. Net service and field options sales increased mainly due to the growing installed base of systems and higher lithography tool utilization levels at certain customers. Increase (decrease) on previous year 2.6% Net sales (0.8)% Net system sales 15.6% Net service and field option sales STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 55 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Performance KPIs (continued)


 
Net sales (in millions) €27,559 €465 €(1,268) €650 €(6) €(120) €109 €874 €28,263 2023 EXE NXE ArF immersion ArF dry KrF & I-line Metrology and inspection Service and field options 2024 The increase in total net sales was primarily driven by higher net service and field option sales, increased DUV immersion system shipments and the first EXE systems (EUV 0.55 NA) being successfully installed in the field. NXE (EUV 0.33 NA) sales volumes were lower due to a shift in the market dynamics, driven by AI. This was partially offset by our customers' transition to the NXE:3800E, our latest NXE value proposition introduced in 2024. We recognized 2 EXE and 42 NXE systems in sales in 2024 compared with 0 EXE and 53 NXE systems in 2023. Our system sales units across our DUV technologies decreased from 396 in 2023 to 374 units in 2024. The increase in net service and field option sales was primarily due to higher service sales, as a result of the growing customers’ systems installed base and higher lithography tool utilization levels at certain customers. Gross profit and gross margin (in millions) €13,774 €14,284 50.0% 50.5% Gross profit Gross margin 2023 2024 Gross profit increased mainly as a result of higher service sales. The gross margin increased compared to previous year. The gross margin benefited from a larger share of service sales and an increase in field option sales, which was partially offset by a lower share of NXE sales and the dilutive impact of the first EXE systems recognized as sales. Research and development costs (in millions) €3,113 €3,181 11.3% 11.3% R&D costs % of net sales 2023 2024 R&D investments of €4,303.7 million (2023: €3,980.7 million), are mainly comprised of R&D costs net of credits (including net development costs not eligible for capitalization) of €3,181.0 million (2023: €3,113.1 million) and capitalization of development expenditures of €1,122.7 million (2023: €945.4 million). The increase in investments in R&D costs across each of our NXE, EXE, DUV and Applications programs all support our holistic lithography solutions. In 2024, R&D costs mainly related to: • Investments in the development of the NXE:3800E and NXE:4000 systems and further improving availability and productivity of our EUV installed base systems. • Investments in the development of our EXE systems to support future nodes for both Logic and DRAM customers. • Continued investment in the next-generation lithography systems, which will increase productivity and overlay in critical DUV layers (NXT:2150i), increase productivity in KrF layers (NXT:870B) and make a next step in cost effectiveness for our customers in i-line (XT:260). • Continued investment in e-beam inspection, e-beam metrology and YieldStar optical metrology. In addition, securing our multibeam inspection roadmap and continuously expanding our investment in the holistic software applications space. €3.2 billion R&D costs 2.2% Increase in R&D costs on previous year STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 56 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Performance KPIs (continued)


 
Selling, general and administrative costs (in millions) €1,149 €1,166 4.2% 4.1% SG&A costs (€, in millions) % of net sales 2023 2024 SG&A costs increased by 1.4% from 2023 to 2024 largely due to increases in the number of full-time equivalents (FTEs), in the salary per FTE and in the investments in our Community Partnership Program. Read more in Sustainability statements – Social – Valued partner in our communities Income taxes (in millions) €1,629 €1,818 17.1% 18.3% Income tax expense (€, in millions) ETR % 2023 2024 The effective tax rate (ETR) increased to 18.3% in 2024, compared with 17.1% in 2023. The higher rate is mainly driven by the new ‘innovation box’ agreement that has become effective as of 2024 as well as by the recognition of a tax expense in relation to a historic tax position. Net income and earnings per share (in millions) €20.61 €21.23 394 393 EPS (basic) Weighted avg. # of shares (in millions) 2023 2024 Net income in 2024 amounted to €8,349.0 million, or 29.5% of total net sales, representing €21.23 basic net income per ordinary share, compared with net income in 2023 of €8,115.2 million, or 29.4% of total net sales, representing €20.61 basic net income per ordinary share. The increase in basic net income per ordinary share is mainly due to a higher net income. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 57 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Performance KPIs (continued)


 
Cash flow analysis We continue to invest heavily in our next-generation technologies in order to secure future growth opportunities which require a significant cash investment in net working capital, capital expenditures and R&D. We also continue our efforts to return cash to our shareholders through our dividends and share buyback program. Year ended December 31 (€, in millions) 2023 2024 Cash and cash equivalents, beginning of period 7,268.3 7,004.7 Net cash provided by (used in) operating activities 6,536.2 12,369.7 Net cash provided by (used in) investing activities (3,634.6) (3,731.8) Net cash provided by (used in) financing activities (3,151.4) (2,913.1) Effect of changes in exchange rates on cash (13.8) 6.4 Net increase (decrease) in cash and cash equivalents (263.6) 5,731.2 Cash and cash equivalents, end of period 7,004.7 12,735.9 Short-term investments, end of period 5.4 5.4 Cash and cash equivalents and short-term investments 7,010.1 12,741.3 Net cash provided by (used in) operating activities The increase in net cash provided by operating activities of €5,833.5 million compared to 2023 is mainly due to the cash received from down payments and the timing of cash payments to our suppliers. Net cash provided by (used in) investing activities The increase in net cash used in investing activities of €97.2 million compared to 2023 is mainly due to an increase in capital expenditures (including capitalized R&D) of €64.1 million, and the higher net cash outflow from the purchase and maturity of short-term investments of €102.0 million. This is partially offset by a decrease in our loans issued of €31.9 million. Additionally, in 2024, we did not acquire any entities (2023: €33.6 million). Net cash provided by (used in) financing activities The net cash used in financing activities decreased by €238.3 million compared to 2023. While our total dividends paid increased by €104.6 million, the total value of shares purchased through our share buyback program decreased by €500.0 million. Additionally, in 2024, we had limited net proceeds from issuances of notes (2023: €997.8 million) and no repayment of previously issued notes that became due (2023: €752.8 million). As of December 31, 2024, ASML has sufficient capital for the company’s present obligations. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 58 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Performance KPIs (continued)


 
Trend information Looking to 2025, we expect full-year revenue between €30 billion and €35 billion. Consistent with our view from last quarter, the growth in AI is the key driver for growth in our industry, however as we have noticed already in 2024 it has created a shift in the market dynamics that is not benefiting all of our customers equally. If AI demand continues to be strong and customers are successful in bringing on additional capacity to support that demand, there is potential opportunity towards the upper end of our revenue range. On the other hand, there are also risks related to customers and geopolitics that could drive results towards the lower end of the range. Looking at market segments we currently expect Logic to be up versus 2024 with the ramp of leading-edge nodes while we expect Memory to remain strong, similar to 2024. With respect to our net service and field option sales, we expect revenue to grow versus 2024 driven by both service and upgrades as part of a growing installed base, in which EUV is having a growing contribution to the business. Total net sales for the first quarter of 2025 is guided between €7.5 billion and €8.0 billion. The trends, expectations and guidance discussed above are subject to risks and uncertainties. Read more in Strategic report – Other appendices – Special note regarding forward-looking statements STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 59 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Long-term growth opportunities


 
Long-term growth opportunity for 2030 At our November 2024 Investor Day, we provided an update on our long-term growth opportunity for 2030. The semiconductor industry remains strong and AI is expected to create further opportunity. Our industry will require major innovations to address the anticipated cost and power consumption challenges of AI and this will further boost the industry roadmap in a product mix shifting toward advanced Logic and DRAM. Our customers remain at the core of our strategy, and we believe that lithography will remain at the heart of their innovation. We also anticipate that an increased number of critical lithography exposures for advanced Logic and Memory processes will continue to support our customers in addressing their challenges. We expect that our ability to 1) scale our EUV technology well into the next decade, 2) extend holistic lithography into supporting 3D front end integration and 3) improve the performance and cost effectiveness of our EUV and DUV products will continue to address all our customers’ needs with a flexible and versatile portfolio. ASML values the strong industry partnerships which are critical to our success and our collective commitment to a leadership position in ESG. Based on our modelling of the different scenarios we expect global semi sales to grow at 9% CAGR (2025-2030) and surpass $1 trillion by 2030. This translates into an overall wafer demand growth of 780K wafer starts per month per year (2025-2030). The rise of AI as a leading end driver also implies a positive mix-shift in the wafer demand profile from litho spending perspective. We expect Advanced Logic and DRAM to drive further EUV litho exposures and spending. For the period from 2025 to 2030, for Advanced Logic, we expect an EUV litho spending CAGR of 10-20% and for DRAM, we expect an EUV litho spending CAGR of 15-25%. This expected growth in semiconductor end markets and increasing lithography spending on future nodes are expected to fuel demand for our products and services. Based on different market and lithography intensity scenarios, we see an opportunity to achieve 2030 annual revenue between approximately €44 billion and €60 billion. We expect to continue to return significant amounts of cash to our shareholders through a combination of growing dividends and share buybacks. Read more in Strategic report – Our business strategy Long-term models as presented at 2024 Investor Day Total sales opportunity (in €bn) 2022 Investor Day 2024 Investor Day Sales 2030 Sales 2030 High scenario C M EUV sales 32 32 Non-EUV sales (litho and M&I*) 15 15 Installed base management** 13 13 Total 60 60 Moderate scenario EUV sales Not reported at 2022 Investor Day 26 Non-EUV sales (litho and M&I*) 14 Installed base management** 12 Total 52 Low scenario 6 EUV sales 22 22 Non-EUV sales (litho and M&I*) 11 11 Installed base management** 11 11 Total 44 44 * M&I: Metrology and inspection. ** Installed base management equals our net service and field option sales. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 60 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Long-term growth opportunities (continued)


 
Enterprise risk management ASML's ERM framework is designed to enable a well- defined governance structure and a robust ERM process. The Risk and Business Assurance function drives the ERM process and associated activities across ASML. We follow a systematic approach to identify, manage and monitor risks in pursuit of our business objectives by setting standards and enabling management to maintain and continuously improve our governance, risk management, internal control and compliance. The framework enables us to identify opportunities to achieve our objectives and ensure sustainable long-term value creation. The purpose of risk management is to maximize the probability of achieving business objectives responsibly. ERM is a continuous process. Its related activities are periodically repeated to identify and address risks in a timely fashion, and ensure outcomes are relevant for effective decision-making. Our Head of Risk and Business Assurance reports to the CFO and Audit Committee and is responsible for leading the development and maintenance of the ERM framework and the implementation of the ERM process. We have adopted the International Organization for Standardization (ISO) 31000:2018 standard as the basis for our ERM activities. In addition, the Head of Risk and Business Assurance is responsible for leading the security function and for developing and maintaining the compliance process. Risk management governance structure Supervisory Board Audit Committee Request to investigate specific risk topics • Bi-annual risk review • Risk topics feedback • Assertion on control effectiveness • Quarterly progress reporting Board of Management Compliance, Ethics, Security and Risk Committee (CESR) Risk oversight Disclosure Committee Internal Control Committee • Risk appetite • Risk management policy • CESR sub-committees (governance) • Risk assessment results • Risk response progress • Incidents • Control effectiveness Risk owners STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 61 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct How we manage risk ASML manages risks through an enterprise risk management (ERM) framework that integrates risk management into our daily business activities and strategic planning.


 
Supervisory Board and Audit Committee The Supervisory Board (SB) provides independent oversight of management’s response on critical risk areas. The SB’s Audit Committee provides independent oversight of the ERM process and timely follow-up of priority actions based on quarterly progress updates. Board of Management The Board of Management (BoM) is responsible for managing internal and external risks related to our business activities and for ensuring we comply with applicable laws and regulations. Compliance, Ethics, Security and Risk Committee The Compliance, Ethics, Security and Risk Committee (CESR) is the central risk oversight body that reviews, manages and controls risks in the ASML risk universe. It also approves the risk appetite, risk management policies and risk mitigation strategies. The CESR is chaired by the CFO and comprises senior management representatives across ASML, including the COO and CSPO (Chief Strategic Sourcing & Procurement Officer). Disclosure Committee The Disclosure Committee is chaired by the head of Finance and advises the BoM in overseeing ASML’s disclosure activities and compliance with applicable disclosure requirements arising under Dutch and US law, applicable stock exchange regulations and other regulatory requirements. Internal Control Committee The Internal Control Committee is chaired by the Corporate Chief Accountant and advises the Disclosure Committee, CEO and CFO in their assessment of our internal control over financial reporting and related disclosures, under section 404 of the Sarbanes-Oxley Act. The Chair of the Internal Control Committee updates the CEO and CFO on the progress of this assessment. The Chair also includes this update in the Internal Control Committee’s report to the Audit Committee. Risk owners Risk owners monitor the development of risks across the ASML risk universe and drive risk response across ASML according to requirements defined by the CESR. ASML risk universe The ASML risk universe is a consolidated overview of the risks that may have a material adverse impact on our ability to achieve our business objectives. The risk universe was updated in 2024 and consists of 31 risk categories grouped into six risk types. The risk universe allows us to have a consistent approach to risk assessments across ASML. ASML risk universe Strategy and products • Industry cycle risk • Geopolitical risk • ESG expectations risk • Business model risk • Merger and acquisition risk • Competition risk • Innovation risk • Product stewardship risk • Product roadmap execution risk • Intellectual property rights risk Finance and reporting Partners People Operations • Business planning risk • Financial risk • Shareholder activism risk • Disclosure/external reporting risk • Tax and customs risk • Customer dependency risk • Product/service quality risk • Supplier strategy and performance risk • Supply chain disruption risk • Knowledge management risk • Organizational effectiveness risk • Human resource risk • Product industrialization risk • Process effectiveness and efficiency risk • Environment, health and safety risk • Continuity of own operation risk • Security risk • Information technology risk • Manufacturing and install risk Legal and compliance • Contractual liability risk • Violation of laws and regulations risk We take into account a broad range of internal and external information sources such as macroeconomic and industry trends, relevant guidelines and legislation, and stakeholders’ needs and expectations in all areas. The risk universe is reviewed, updated and approved annually, or more frequently when there are significant internal and/or relevant external developments. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 62 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct How we manage risk (continued)


 
ERM process The ERM process provides a holistic approach combining both top-down (company-level) and bottom- up (organization- and process-level) perspectives. This helps us identify, evaluate and manage risks at the right level. We continuously seek to improve our ERM process based on learnings, developments and best practices. The results of periodic risk assessments and the potential impact of external trends and emerging risks are captured in the ASML risk landscape. As we operate in a dynamic environment, risk exposures are subject to change. The ASML risk landscape is reviewed and updated by the CESR each quarter. Risk assessments are carried out to assess all risk events in ASML's risk universe. We define strategies to address relevant risks and take these into account when we set our corporate priorities. Our risk responses aim to mitigate risks to the level defined by the risk appetite. Risk management process Risk assessment Risk response Top-down risk assessment Coordination and follow-up CESR / Risk owners / Emerging risks Risk owners Risk identification Risk landscape Risk appetite Risk analysis Risk evaluation Risk treatment Bottom-up risk assessment Execution Business Action owners STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 63 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct How we manage risk (continued)


 
There are several developments in the context of our strategy that have an impact on the risk categories in our risk universe. The table below shows the key developments and includes examples of our responses: Development Risk trend Risk universe reference Risk response Geopolitical tensions • Geopolitical • Competition • Supply chain disruption • Continuity of own operation • Business model • Violation of laws and regulations • Security • IP rights • Human resource • Active engagement with authorities and governments • Scenario planning • Collaborate with peers in global advocacy • Optimize industrial footprint • Apply for export licenses • Comply with applicable regulations Geopolitical tensions and the strive for technological sovereignty may lead to a decoupled ecosystem. There is a risk that future trade restrictions (e.g. raw materials, technology, systems, investments) further limit our ability to source parts and/or sell systems to, or service them for, certain customers. With the increasing complexity of regulations, ensuring compliance has become more challenging. Uncertain global economy • Industry cycle • Business model • Financial • Competition • Supply chain disruption • Cost control • Maintain flexibility • Scenario planning Global economic conditions lead to uncertainty for semiconductor demand and therefore demand for our products. We have experienced order push-outs. The macroeconomic weakness continues and its duration is uncertain. Pressure on know-how and intellectual property (IP) protection in ecosystem • Security • Supply chain disruption • Competition • IP rights • Intellectual property portfolio management • Patents and relevant technical publications monitoring • Substantial investments in security • Awareness and training programs • Cyber defense capabilities ASML’s strengths are based on the innovation power in our ecosystem and the ability to protect our IP. There is significant pressure on know-how and IP protection for ASML and its open innovation partners. We and our partners experience cyber- and other security threats. Growth challenges • Manufacturing and install • Supplier strategy and performance • Human resource • Product industrialization • Process effectiveness • Product/service quality • Increase of manufacturing and supply chain capabilities • Remain flexible in our operating model • Drive operational excellence • Strengthen ecosystem relationships • Create an exceptional workplace • Shorten time to knowledge Although there is uncertainty and volatility in the industry, we expect substantial growth opportunities in this decade. That brings challenges. We are continuing to increase production capacity in our end-to-end supply chain to meet future demand, but we may still face challenges in increasing capacity. Such challenges can be amplified by supply chain constraints. In addition, hiring, onboarding and retaining our workforce in the competitive market is a long-term challenge. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 64 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct How we manage risk (continued)


 
The risk factors in this section are classified under these six risk types. Any of these risks and the related events or circumstances described therein may have a material adverse effect on our business, financial condition, results of operations and reputation. These risks are not the only ones that we face. Some risks may not yet be known to us, and certain risks that we do not currently believe to be material could become material in the future. Many risks may be intensified by global events, such as wars and other conflicts, geopolitical tensions, inflation, industry downturn, global measures (including new regulations) taken in response to these events and/or any adverse global business and economic conditions. 1. Strategy and products Our future success depends on our ability to respond timely to commercial and technological developments in the semiconductor industry The success of new product introductions is uncertain and depends on our ability to successfully execute our R&D programs Risk category: Risk category: Business model, Innovation Product roadmap execution, Innovation Our success in developing new and enhancing existing technologies, products and services, depends on a variety of factors. These include the success of our and our suppliers’ R&D programs and the timely, cost-effective and successful completion of product development and design relative to competitors. Our business will suffer if the technologies we pursue to assist our customers in producing smaller and more energy-efficient chips are not as effective as, or are more costly than, those developed by competitors. Our business will also suffer if our customers do not adopt technologies that we develop, or if they adopt new technological architectures that are less focused on lithography products. For example, the success of our EUV 0.55 NA (High NA) technology, which we believe is critical for keeping pace with Moore’s Law, depends on continuing technical advances by us and our suppliers. We invest considerable financial resources in developing and introducing new and enhanced technologies, products and service offerings. If we are unsuccessful in developing (or if our customers do not adopt) these technologies, products and service offerings, such as EUV 0.55 NA and multibeam inspection, or if alternative technologies or processes are successfully introduced by others, our competitive position and business may suffer, and we may be unable to recoup some or all of these investments. In addition, we may incur impairment charges on capitalized technology including prototypes or incur costs related to inventory obsolescence, as a result of technological changes. Such charges and costs may increase as the complexity of technology increases. Also, due to the highly complex nature and costs of our systems, including newer technologies, our customers may purchase existing technology systems rather than new leading-edge systems, or they may delay their investment in new technology systems to the extent that such investment is not economical or required, given their product cycles. Global economic conditions in general and semiconductor market conditions specifically affect our customers’ investment decisions and lead to uncertainties in the timing around the introduction of and demand for new leading-edge systems. This increases the risk of slowing down the overall transition period (or cadence) for the introduction of new nodes and, therefore, new systems. We also depend on our suppliers to maintain their development roadmaps to enable us to introduce new technologies in a timely manner. Delays by suppliers in keeping pace with their roadmaps, whether due to technological factors, lack of financial resources or otherwise, impact our ability to meet our development roadmaps. As our lithography systems and applications have become increasingly complex, the cost and time to develop new products and technologies have increased, and we expect this trend to continue. In particular, developing new technology, such as EUV 0.55 NA (High NA) and multibeam, requires significant R&D investments by us and our suppliers. Our suppliers may not be able or willing to invest the resources necessary to continue the (co-)development of new technologies to the extent that such investments are necessary. This has resulted and may result in ASML contributing funds to such R&D programs or limiting the R&D investments that we can undertake. Furthermore, if our R&D programs are not successful in developing the desired new technology on time or at all, we may be unsuccessful in introducing new products, services and technologies and unable to recoup our R&D investments. In case of high levels of customer demand, we may prioritize our resources on production over R&D programs. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 65 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors Many risks have the potential to impact our business and it is important to understand their nature. We assess risks using the ASML risk universe, which comprises six risk types (Strategy and products, Finance and reporting, Partners, People, Operations, Legal and compliance).


 
1. Strategy and products (continued) We face intense competition The semiconductor industry can be cyclical and we may be adversely affected by any downturn We derive most of our revenues from the sale of a relatively small number of products Risk category: Risk category: Risk category: Competition Industry cycle risk Business model The semiconductor equipment industry is highly competitive. Our competitiveness depends on our ability to develop new and enhanced lithography equipment, and related applications and services that bring value to our customers and are competitively priced and introduced on a timely basis – as well as our ability to protect and defend our intellectual property, trade secrets or other proprietary information. We compete primarily with Canon and Nikon in respect of DUV systems. Both have substantial financial resources and broad patent portfolios. Each continues to offer products that compete directly with our DUV systems, which may impact our sales or business. In addition, adverse market conditions, long-term overcapacity or a decrease in the value of the Japanese yen in relation to the euro could increase price-based competition, resulting in lower prices and lower sales and margins. We also face competition from new competitors with substantial financial resources, as well as from competitors driven by the ambition of self-sufficiency in the geopolitical context. Furthermore, we face competition from alternative technological solutions or semiconductor manufacturing processes. We also compete with providers of applications that support or enhance complex patterning solutions, such as Applied Materials Inc. and KLA-Tencor Corporation. These applications compete with our applications offering, which is a significant part of our business. The semiconductor industry has historically been cyclical. As a supplier to the global semiconductor industry, we are subject to the industry’s business cycles. The timing, duration and volatility are difficult to predict and can have a significant impact on semiconductor equipment manufacturers including ASML. Newer entrants to the industry, including Chinese semiconductor manufacturers, could increase the risk of cyclicality in the future. Certain key end-market customers – Logic and Memory – exhibit different levels of cyclicality and different business cycles. Cyclicality may be worsened by the geopolitical situation – for example, if countries increase semiconductor capacity for higher levels of self- sufficiency, thereby creating global overcapacity. Sales of our lithography systems, services and other holistic lithography products depend in large part on the level of capital expenditures by semiconductor manufacturers. These in turn are influenced by industry cycles, the drive for technological sovereignty and a range of competitive and other factors, including semiconductor industry conditions and prospects. The timing and magnitude of capital expenditures of our customers also impact the available production capacity of the industry to produce chips, which can lead to imbalances in the supply and demand of chips. Reductions or delays in capital expenditures by our customers, or incorrect assumptions by us about our customers’ capital expenditures, could adversely impact our business. We make various assumptions about future demand in our financial models and our capital expenditures and planning for production capacity. To the extent that actual results prove to be materially different from our assumptions, we may have overcapacity or may have allocated capital expenditure and resources to make products that are not in demand by customers (at the expense of products that are in demand) and our actual results could differ substantially from those implied by our financial models. Capital expenditures by our customers may not continue at current levels and may decline. Capital expenditures by some customers have declined recently compared to prior years and we have experienced changes in timing of orders from certain customers, and we are subject to uncertainty in future customer demand. The current global economic environment, including inflation, interest rates and geopolitical events, contributes to this uncertainty. An uncertain global economy frequently leads to reduced consumer and business spending, and could cause our customers to decrease, cancel or delay their orders and we have experienced customers scaling back their capacity additions. High interest rates and volatility in financial markets could make it more difficult for our customers to raise capital, whether debt or equity, to finance their purchases of equipment, including the products we sell. The foregoing could lead to reduced demand, which may adversely affect our product sales and revenues and may harm our business and operating results. As we have significantly increased our organization in terms of employees, infrastructure, manufacturing capacity and other areas, we may not be able to adjust our costs adequately in a timely manner in the event of an industry downturn. If we are unable to adapt appropriately and in a timely manner to changes resulting from macroeconomic conditions, our business, financial conditions or results of operations may be materially and adversely affected. We derive most of our revenues from the sale of a relatively small number of lithography systems (418 units in 2024, 449 units in 2023 and 345 units in 2022). As a result, the timing of shipments and recognition of system sales for a particular reporting period, as a result of shipment delays or other factors, may have a material impact on our results of operations in that period, and this impact is greater as prices for our systems increase. In recent years, we have used fast shipments for some customers, which allows us to deliver systems more quickly to customers by having some final testing and formal acceptance carried out on customer sites instead of at our own facilities. This typically leads to a delay of revenue recognition for those shipments until formal customer acceptance, which can impact comparability of our results of operations from period to period. In addition, our installed base revenues are impacted by the number of systems we sell and other factors; for example, customers may perform more of these services themselves, find other third-party suppliers to provide them or we may be limited by export control restrictions. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 66 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
1. Strategy and products (continued) Failure to adequately protect intellectual property could harm our business Defending against intellectual property claims brought by others could harm our business Risk category: Risk category: Intellectual property rights Intellectual property rights We rely on intellectual property (IP) rights such as patents, copyrights and trade secrets to protect our proprietary technology. However, we face the risk of such protective measures proving inadequate and we could suffer material harm because, among other matters: 1. IP laws may not sufficiently support our proprietary rights or may change adversely in the future. 2. Our agreements (e.g. confidentiality, licensing) with our customers, employees and technology development partners and others to protect our IP may not be sufficient or may be breached or terminated. 3. Patent rights may not be granted or interpreted as we expect. 4. Patent rights will expire, which may result in key technology becoming widely available, which may harm our competitive position. 5. The steps we take to prevent misappropriation or infringement of our proprietary rights may not be successful. 6. IP rights can be difficult to enforce in countries where the application and enforcement of the laws governing such rights may not have reached the same level compared with other jurisdictions where we operate. 7. Third parties may be able to develop or obtain patents for our own or for similar competing technology. Legal proceedings may be necessary to enforce our IP rights and the validity and scope may be challenged by others. Any such proceedings may result in substantial costs and diversion of management resources, and, in the event of decisions unfavorable to us in proceedings, could result in significant costs or have a significant impact on our business. We have experienced and may in the future experience misappropriation attacks by third parties or our employees, including theft of IP. Such incidents may result in third parties or others, without authorization, obtaining, copying, using or disclosing our IP, despite our efforts to protect our IP rights. Our suppliers face similar risks which could have a consequential impact on us. In the course of our business, we have been and may be subject to claims by third parties alleging that our products or processes infringe upon their IP rights. If successful, such claims could limit or prohibit us from developing our technology, and manufacturing and selling our products. Our customers may also be subject to claims of infringement from third parties, including patent holder companies, alleging that our products used by such customers in the manufacturing of semiconductor products and/or the processes relating to the use of our products infringe on one or more patents issued to such third parties. If such claims are successful, we could be required to indemnify our customers for losses incurred by or damages assessed against them as a result of such infringement. We may incur substantial licensing or settlement costs to settle claims or limit our exposure to the IP claims of third parties. Patent litigation is complex and may extend for a protracted period of time, giving rise to the potential for substantial costs and diverting the attention of key management and technical personnel. Potential adverse outcomes from patent litigation may include payment of significant monetary damages, injunctive relief prohibiting our manufacturing, exporting or selling of products, reputational damage and/or settlement involving significant costs to be paid by us. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 67 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
1. Strategy and products (continued) We are exposed to economic, geopolitical and other developments in our international operations We may be unable to make desirable acquisitions or to integrate successfully any businesses we acquire Risk category: Risk category: Geopolitical Merger and acquisition Our business is subject to export control restrictions, sanctions, tariffs and, more generally, international trade regulations which impact our ability to deliver our systems, technology and services, and geopolitical tensions have led, and may lead to, an increase in such restrictions and regulations. Our ability to deliver systems and services in certain countries such as China has been the subject of increased export regulations or policies and continues to be impacted by our ability to obtain required licenses and approvals. We are required under Dutch and other applicable regulations and legislation to obtain licenses for the export of certain technologies. As a result of the Dutch regulations, EUV, certain DUV immersion and other products are subject to license requirements. The US government has also enacted trade measures, including license requirements on conducting business with certain Chinese entities, restricting our ability to provide certain products and services to such entities without a license. The list of Chinese entities impacted by export control restrictions has increased over the years, with restrictions including export controls on semiconductor manufacturing items which impose license requirements on the sale/transfer of US origin items as well as on the support by US persons on non-US origin items destined for certain fabs in China working on advanced node ICs. The list of restricted customers and the scope of the restrictions are subject to change and may be expanded to include additional entities. ASML is also subject to export control regulations in countries outside the EU and US. These developments in multilateral and bilateral treaties, national regulation, and trade, national security and investment policies and practices have affected and may further affect our business, and the businesses of our suppliers and customers. For example, the ability to obtain US licenses to authorize employees with foreign nationalities to work in programs that include controlled US items has been reduced over the last couple of years. Such developments, including the drive for technological sovereignty, could also lead to long-term changes in global trade, competition and technology supply chains, which could adversely affect our business and growth prospects. Customers in China represented 36.1% of our 2024 total net sales. Countries impacted by export control restriction can also introduce measures to counteract the impact of other countries, actions or regulations, which may result in conflicting regulations and legal liabilities. The semiconductor industry makes use of (raw) materials that are controlled by certain countries. In the current geopolitical context, we see an increasing risk that these materials may become unavailable or restricted, which could impact our suppliers, our customers and ASML. Interstate conflicts and/or nationalization of ASML assets can also impact our business. For example, some of our facilities and supply chain and customers are located in Taiwan. Customers in Taiwan represented 15.4% of our 2024 total net sales and 29.3% of our 2023 total net sales. Taiwan has a unique international political status. Changes in relations between Taiwan and China, Taiwanese government policies and other factors affecting Taiwan’s political, economic or social environment could, for example, impact our ability to service our customers in Taiwan. Furthermore, some of our facilities as well as our supply chain and customers are located in South Korea. Customers in South Korea represented 22.7% of our 2024 total net sales and 25.2% of our 2023 total net sales. In addition, there are tensions between South Korea and North Korea. A worsening of relations between those countries or the outbreak of war on the Korean Peninsula could impact our ability to service customers. A small percentage of our suppliers and customers as well as a customer support organization are based in Israel. The tensions in this region have resulted and may continue to result in violence and/or the outbreak of war, which could impact our business. From time to time, we may acquire businesses or technologies to complement, enhance or expand our current business or products or to seize growth opportunities. Any such acquisitions could fail to achieve our financial or strategic objectives or impact our ability to perform as we plan, or disrupt our ongoing business and adversely impact our results of operations. Our ability to complete any such transactions may be hindered by a number of factors, including potential difficulties in obtaining government approvals. Any acquisition could pose risks related to the integration of the new business or technology with our existing business and organization. We may not be able to achieve the benefits we expect from an acquisition. Acquisitions may also strain our managerial and operational resources and the challenge of managing new operations may divert our management from day-to-day operations. Furthermore, we may be unable to retain key personnel from acquired businesses or we may have difficulty integrating employees, business systems and technology. The controls, processes and procedures of acquired businesses also may not adequately ensure compliance with laws and regulations, and we may fail to identify compliance issues or liabilities. In connection with acquisitions, antitrust and national security regulators have imposed and may in the future impose conditions, including requirements to divest assets or other conditions that could make it difficult for us to integrate the businesses that we acquire. Furthermore, we may have difficulty in obtaining, or be unable to obtain, antitrust and national security clearances, which could inhibit future desired acquisitions. As a result of acquisitions, we have recorded a significant amount of goodwill and a number of intangible assets. Accounting standards require periodic review of these assets for indicators of impairment. If one or more indicators of impairment are found to exist, then valuation of the related asset could change and may incur impairment charges. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 68 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
1. Strategy and products (continued) We may not be able to achieve our ESG objectives or adapt and respond in a timely manner to emerging ESG expectations and regulations Risk category: ESG expectations, Product stewardship Companies across all industries are facing increasing scrutiny of their ESG policies and practices. Investors, capital providers, shareholder advocacy groups, market participants, customers and other stakeholders are increasingly focused on ESG practices and ESG matters. In particular, within the semiconductor industry, there is a focus on contribution to society and minimizing environmental and social impacts of products throughout all life-cycle stages. Some stakeholders, however, may disagree with our ESG goals and initiatives, and their focus may evolve over time. Stakeholders, including regulators or governments in the various jurisdictions in which we operate, may also have conflicting views on ESG practices. Failure to achieve our ESG objectives, meet the emerging or conflicting ESG expectations of our stakeholders and/ or respond in a timely way to changing or conflicting regulations, laws and reporting and disclosure obligations could negatively affect our brand and reputation and impede our ability to recruit or retain employees, and may ultimately adversely affect our operations. In addition, laws, regulations and standards for calculating and disclosing emissions and other sustainability metrics continue to evolve, which can result in inconsistencies or other changes to data over time, revisions to our strategies and targets, or our ability to achieve them, subjecting us to additional scrutiny. Climate change contributes to increasing severity and frequency of extreme weather events, rising sea levels and droughts, which can impact continuity of our operations and/or our supply chain. Climate change concerns and the potential environmental impacts of climate change have resulted, and may result, in new laws and regulations that affect us, our suppliers and our customers. Such laws or regulations could cause us to incur additional direct costs for compliance, as well as increased indirect costs from our value chain. Furthermore, the ability to improve our product-related environmental performance (such as energy efficiency) may be affected by the complexity of our technology and products. In order to meet our ESG goals and requirements, we are dependent on our suppliers and their ability to reduce their ecological footprints, and we may be unable to meet our ESG goals if our suppliers do not meet our expectations in this regard. In addition, we are dependent on our customers and/or our customers may not be satisfied with our progress, which could impact demand. A global trend of transitioning to a lower-carbon economy has resulted in increased regulations that could lead to technology restrictions, modification of product designs, an increase in energy prices and energy or carbon taxes, restrictions on pollution, remediation measures, or other requirements that could impact our business and increase our costs. A variety of regulatory developments have been introduced that focus on restricting or managing carbon and greenhouse gas (GHG) emissions. This could result in a need to redesign products and/or to purchase at higher costs new equipment or materials with lower carbon footprints. We publish disclosures on ESG matters relating to our business and our partners as required by applicable regulations and guidance and other data which may not be required but which we nonetheless elect to disclose. Such disclosures include our ESG goals, expectations and assumptions and related statements, including targets, commitments, goals, plans, expectations and forecasts about future circumstances, which may prove to be incorrect or which we may not meet. In addition, our ESG sustainability strategy may not deliver the intended results, and our estimates concerning feasibility, timing and cost of meeting stated goals are subject to risks and uncertainties. In addition, we may use offsets to help us meet some of our emissions targets. We have not undertaken any commitment to purchase offsets, and we do not intend to use offsets in connection with our scope 3 emissions goals. As a result, we may not meet our goals on expected timing or at all. ESG disclosure requirements are increasing and authorities have proposed disclosure requirements on ESG matters which differ from the requirements that we are currently subject to. We face risks in complying with such regulations, including the risk of complying with requirements in different jurisdictions, the costs associated with such compliance and the risk that our ESG disclosures prove incorrect. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 69 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
2. Finance and reporting We are exposed to financial risks including liquidity risk, interest rate risk, counterparty credit risk, foreign exchange risk and inflation risk Changes in taxation could affect our future profitability Risk category: Risk category: Financial Tax and customs As a global company, we are exposed to a variety of financial risks, including those related to liquidity, interest rates, counterparty credit, currencies and inflation. Liquidity risk Negative developments in our business or global capital markets could affect our ability to meet our financial obligations or to raise or refinance debt in the capital or loan markets. In addition, we might be unable to repatriate cash from a country when needed for use elsewhere due to legal restrictions or required formalities. Currency risk Our Financial statements are expressed in euros. Accordingly, our results of operations are exposed to fluctuations in exchange rates between the euro and other currencies. Changes in currency exchange rates can result in losses in our Financial statements. We are particularly exposed to fluctuations in the exchange rates between the US dollar and the euro, and to a lesser extent to the Japanese yen, the South Korean won, the Taiwanese dollar and the Chinese yuan, in relation to the euro. We incur costs of sales predominantly in euros, with portions also denominated in US and Taiwanese dollars. A small portion of our operating results are driven by movements in currencies other than the euro, US dollar, Japanese yen, South Korean won, Taiwanese dollar or Chinese yuan. Inflation risk We are exposed to increases in costs due to inflation for costs of goods, transportation and wages. We have experienced and experience higher-than-normal inflation, which impacts our costs and margins in case we are not able to pass on increased costs in our prices. Interest rate risk We are subject to income taxes in the Netherlands and other countries in which we operate. Our effective tax rate has fluctuated in the past and may fluctuate in the future. Our effective tax rate can be affected by changes in our business environment, changes in tax legislation in the countries where we operate, developments driven by global organizations such as the Organisation for Economic Co-operation and Development (OECD), as well as any change in approach to tax by tax authorities. Initiatives like the BEPS and Global Minimum Tax rules have already resulted in and may result in further increased compliance obligations for ASML. This may result in an increase in our effective tax rate in future years. Changes in tax legislation may adversely impact our tax position and consequently our net income. Our worldwide effective tax rate is heavily impacted by R&D incentives included in tax laws and regulations in the countries where we operate, such as the so- called innovation box in the Netherlands and the R&D credits we obtain in the US. If relevant jurisdictions alter their tax policies/laws in this respect, it may have an adverse effect on our worldwide effective tax rate. In addition, jurisdictions levy corporate income tax at different rates. The mix of our sales over the various jurisdictions in which we operate may vary from year to year, resulting in a different mix of corporate income tax rates applicable to our profits. This can also affect our worldwide effective tax rate and impact our net income. Our Eurobonds bear interest at fixed rates. Our cash, investments, Euro Commercial Paper program and credit facilities bear interest at a floating rate. Failure to effectively hedge this risk could impact our financial condition and results of operation. In addition, we could experience an increase in borrowing costs due to a ratings downgrade (or the expectation of a downgrade), developments in capital and lending markets or developments in our businesses. Counterparty credit risk We are exposed to credit risk, particularly with respect to (financial) counterparties with whom we hold our cash and investments as well as our customers. As a result of our limited number of customers, counterparty credit risk on our receivables is concentrated. Our three largest customers (based on total net sales) accounted for €2,641.9 million, or 54.1% of accounts receivable and finance receivables, at December 31, 2024, compared with €3,718.8 million, or 64.4%, at December 31, 2023. Accordingly, business failure or insolvency of one of our main customers could result in significant credit losses. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 70 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
3. Partners Our success is highly dependent on the performance of a limited number of critical suppliers of single-source key components Risk category: Supply chain disruption, Supplier strategy and performance We rely on third-party vendors for components and subassemblies used in our systems, including the design thereof. These components and subassemblies are obtained from a single supplier or a limited number of suppliers. As our business has grown, our dependence on single suppliers or a limited number of suppliers has grown. The highly specialized nature of many of our components, particularly for EUV systems, means it is not economical to source from more than one supplier. In many cases, our sourcing strategy prescribes ‘single sourcing, dual competence’. Our reliance on a limited group of suppliers involves several risks, including a potential inability to obtain an adequate supply of required components or subassemblies in time and at acceptable costs, and reduced control over pricing and quality. Delays in supply of these components and subassemblies could occur due to disruptions experienced by our suppliers for reasons including work stoppages, fire, energy shortages and access issues, pandemic outbreaks, flooding, cyberattacks, blockades, sabotage or other disasters, natural or otherwise. This could lead to delays in delivery of parts, components or subassemblies and therefore delays in delivery of our products to customers, which could impact our business. For example, some of our suppliers have experienced disruptions in their operations as a result of material shortages and cyberattacks. Consistent delays or prolonged inability to obtain adequate deliveries of components or subassemblies, or any other circumstance that requires us to seek alternative sources of supply, could significantly hinder our ability to deliver our products in a timely manner. This could damage relationships with our customers and materially impact our business. The number of lithography systems we are able to produce is limited by the production capacity of one of our key suppliers, Carl Zeiss SMT, our sole supplier of lenses, mirrors, illuminators, collectors and other critical optical components (which we refer to as optics). We have an exclusive arrangement with Carl Zeiss SMT. If this supplier became unable to maintain and increase production levels, we could be unable to fulfill orders. This could have a material impact on our business and damage relationships with our customers. Furthermore, if Carl Zeiss SMT were to terminate its supply relationship with us or be unable to maintain production of optics over a prolonged period, we would effectively cease to be able to conduct our business. From time to time, we experience supply constraints which can impact our production. We and our suppliers have and are continuing to invest in additional capacity to increase our production capacity. However, we may be unable to meet the full demand of our customers. We also face the risk that demand may decrease or may not be sufficient for full utilization of our increased production capacity, which could result in overcapacity in our and our suppliers’ operations and consequently higher costs and loss of investment in increasing capacity. In addition, most of our key suppliers, including Carl Zeiss SMT, have a limited number of manufacturing facilities, the disruption of which may significantly and adversely affect our production capacity. Lead times in obtaining components have increased as our products have become more complex. A failure by us to adequately predict demand for our systems, or any delays in the shipment of components, can result in insufficient supply of components. This could lead to delays in delivery of our systems and could limit our ability to react quickly to changing market conditions. Conversely, a failure to predict demand could lead to excess supply of components and obsolete inventory. We are also dependent on suppliers to develop new models and products to meet our development roadmaps. If our suppliers do not meet our requirements or timetable in product development, our business could suffer. We have historically shipped our systems by airplane, but have recently started to ship some systems by ocean freight. We face risks in connection with using alternative means of transportation (for example delays, defects, damages). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 71 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
3. Partners (continued) 4. People A high percentage of net sales is derived from a few customers Our business and future success depend on our ability to manage the growth of our organization and attract and retain a sufficient number of adequately educated and skilled employees Risk category: Risk category: Customer dependency Human resources, Knowledge management, Organizational effectiveness We sell our lithography systems to a limited number of customers, and therefore the loss of any customer could have a significant impact on our business. Customer concentration, and the risks associated with a limited number of customers, can increase because of continuing consolidation in the semiconductor manufacturing industry. In addition, although the applications part of our holistic lithography solutions constitutes an increasing portion of our revenue, a significant portion of those customers are the same customers as those for our systems. Consequently, while the order of our largest customers may vary from year to year, sales generally remain concentrated among relatively few customers in any particular year. Total net sales to our largest customer amounted to €4,682.4 million, or 16.6% of total net sales in 2024, compared with €8,772.9 million, or 31.8% of total net sales in 2023. In 2024, 30.5% of total net sales were made to our two largest customers. The loss of any significant customer or any significant reduction or delay in orders by such a customer may have a material adverse effect on our business, financial condition and results of operations. Our business depends significantly on our ability to attract and retain employees in the long term, including a large number of highly qualified professionals. Competition for talent is intense. Continuing to attract sufficient numbers of qualified employees to meet our long-term growing needs remains a challenge. Our business has grown significantly and the risk of not being able to attract, onboard and retain sufficient numbers of qualified personnel increases as our business grows. Our R&D programs require a large number of qualified employees. If we are unable to attract sufficient numbers of such employees, this could affect our ability to conduct R&D effectively and on a timely basis. As a result of the uniqueness and complexity of our technology, qualified engineers capable of working on our systems are scarce and generally not available from other industries or companies. We invest a significant amount in educating and training our employees to work on our systems, and their retention is a critical success factor for us. The increasing complexity of our products results in a longer learning curve for new and existing employees. Our suppliers face similar risks in attracting and retaining qualified employees, including those in connection with programs that will support our R&D programs and technology developments. If our suppliers are unable to attract and retain qualified employees, this could impact their technology roadmaps and therefore our R&D programs or delivery of components to us. Our organization has grown significantly in recent years. Our rapid growth driven by strong customer demand has put pressure on our organization and we face challenges in effectively managing, monitoring and controlling our employees, facilities, operations and other resources and complying with applicable laws and regulations. If we are not able to successfully deal with such challenges, this may negatively impact our operations and our reputation as an employer. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 72 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
5. Operations We may face challenges in managing the industrialization of our products and bringing them to high-volume production We are dependent on the continued operation of a limited number of manufacturing facilities Risk category: Risk category: Product industrialization Continuity of own operations Bringing new products to high-volume production at a value-based price and in a cost-effective manner depends on our ability to manage the industrialization of our products and to manage costs. Customer adoption of new products depends on the performance of our products in the field. As our products become more complex, we face an increasing risk that products may not meet development milestones or specifications and may not perform according to specifications, including quality standards. If our products do not perform according to specifications and performance criteria such as customers’ planned wafer capacity, or if quality or performance issues arise, this may result in reduced demand for our products and additional costs. Transitioning newly developed products to full-scale production requires the expansion of infrastructure, including enhancing manufacturing capabilities, increasing the supply of components and training qualified personnel. It may also require our suppliers to adjust or expand their infrastructure capabilities. If we or our suppliers are unable to adjust or expand infrastructure as necessary, we may be unable to introduce new technologies, products or product enhancements, or to reach high-volume production of newly developed products on a timely basis or at all. When we are successful in industrializing new products, it can take years to reach profitable margins. New technologies might not have the same margins as existing technologies, and we might not be able to adjust value-based pricing and/or cost in an effective manner. In addition, the introduction of new technologies, products or product enhancements also impacts ASML’s liquidity. New products may have higher cycle times, resulting in increased working capital needs. As our products become more complex, the investments needed before new product introduction and the timing of revenue recognition of these products may have a significant negative effect on our cost structure and margins. The capability, capacity and costs associated with providing the required customer support to cover the increasing number of shipments and service a growing number of EUV systems that are operational in the field could affect the timing of shipments. It could also impact the efficient execution of maintenance, servicing and upgrades, which are key to our systems continuing to achieve the required productivity. All of our manufacturing activities, including subassembly, final assembly and system testing, take place in (cleanroom) facilities in Veldhoven, Eindhoven, Oirschot (the Netherlands), Berlin (Germany), Wilton, San Diego (US), Pyeongtaek (South Korea) and Linkou and Tainan (Taiwan). These facilities may be subject to disruption for various reasons, including work stoppages, fire, energy shortages and access issues, pandemic outbreaks, flooding, cyberattacks, blockages, sabotage or other disasters, natural or otherwise. Alternative production capacity may not be available if a major disruption were to occur. We are not able to or otherwise may not fully insure our risk exposure, and not all disasters, other potential disruptions and risks are insurable. As a result, we may be subject to the financial impact of uninsured losses, which could have an adverse impact on our financial condition and results of operations. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 73 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
5. Operations (continued) We face challenges to meet expected demand Our operations expose us to health, safety and environment risks Risk category: Risk category: Manufacturing and install, Human resources, Supplier strategy and performance Environment, health and safety We are continuing to increase production capacity in our end-to- end supply chain to meet expected demand, but we face challenges in increasing capacity. For example, we depend on our suppliers increasing their capacity and their ability to invest, and it takes time to build the production space and equipment required for expansion. We and our supply chain also need to obtain permits to make expansion possible, and the time it takes for these to be granted may cause delays. It is a challenge for ASML and its suppliers to hire and retain employees to support expansion. Our processes and systems and those of our supply chain may also not be able to adequately support our growth. If we are not successful in increasing our capacity to meet expected demand, this could impact our relationships with customers and our competitive position. We and our suppliers have invested significantly in increasing capacity, and we face various risks in connection with this, including risks relating to system quality, the risk that we have not accurately predicted demand, and risks associated with maintaining a much larger production infrastructure and supplier ecosystem, including higher costs and challenges in controlling the enlarged production process. We also face the risk that our increase in capacity could result in capacity that exceeds demand (overcapacity). Hazardous substances are used in the production and operation of our products and systems. Their use subjects us to a variety of governmental regulations relating to environmental protection and employee and product health and safety. This includes the transport, use, storage, discharge, handling, emission, generation and disposal of toxic or other hazardous substances. In addition, operating our systems (which use lasers and other potentially hazardous components) can be dangerous and can result in injury. Failure to comply with regulations could result in harm to people and the environment. Substantial fines could be imposed on us, as well as suspension of production, alteration of our manufacturing and assembly and test processes, damage to our reputation and/or restrictions on our operations or sales, or other adverse consequences. Additionally, our products have become increasingly complex. This requires us to invest in ongoing risk assessments and development of appropriate preventative and protective measures for health and safety for both our employees (in connection with the production and installation of our systems and field options and performance of our services) and our customers’ employees (in connection with the operation of our systems). Our health and safety practices may not be effective in mitigating all health and safety risks. A failure to comply with applicable regulations, or the failure of our implemented practices to ensure customer and employee health and safety, could expose us to significant liabilities. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 74 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
5. Operations (continued) Cybersecurity and other security incidents, or disruptions in our processes or information technology systems, could materially adversely affect our business operations Risk category: Security, Information technology, Process effectiveness and efficiency We rely on the accuracy, availability and security of our information technology (IT) systems. Despite the measures that we have implemented, including those related to cybersecurity, our systems could be breached or damaged by malware and systems attacks, natural or man-made incidents, disasters, or unauthorized physical or electronic access. We have experienced some of these incidents in the past. We experience an increasing number of cyberattacks on our IT systems as well as the IT systems of our customers and suppliers and other service providers, which systems we do not control. These attacks include malicious software (malware), attempts and acts to gain unauthorized access to data, and other electronic and physical security breaches of our IT systems, as well as the IT systems of our customers and suppliers and other service providers that have led and could lead to disruptions in critical systems, unauthorized release, misappropriation, corruption, or loss of data or confidential information (including confidential information relating to our customers, employees and suppliers). As technology like AI and quantum computing continues to evolve, these technologies could also be used for sophisticated cyber attempts or bypassing security measures. We depend on our employees and the employees of our suppliers to appropriately handle confidential and sensitive data and deploy our IT resources in a safe and secure manner. Inadvertent disclosure, actions or malfeasance by our employees, those of our suppliers or other third parties have resulted and may in the future result in a loss or misappropriation of data or a breach or interruption of our IT systems. This could result in competitive harm or violate export controls and other laws and regulations, which could result in fines and penalties, business disruption, reputational harm and additional regulatory scrutiny or export control measures. Any system failure, accident or security breach or any other of the foregoing risks could result in business disruption, theft of our IP or trade secrets, unauthorized access to, or disclosure of, customer, personnel, supplier or other confidential information, corruption of our data or of our systems, reputational damage or litigation and violation of applicable laws. Furthermore, malware may harm our systems and software and could be inadvertently transmitted to our customers’ systems and operations. This could result in loss of customers, litigation, regulatory investigation and proceedings that could expose us to civil or criminal liabilities and diversion of significant management attention and resources. We may also incur significant costs to protect against or repair the damage caused by these disruptions or security breaches, including, for example, rebuilding internal systems, implementing additional threat protection measures, providing modifications to our products and services, defending against litigation, responding to regulatory inquiries or actions, paying damages or taking other remedial steps with respect to third parties. Further, remediation efforts may not be successful and could result in interruptions, delays or cessation of service, unfavorable publicity, damage to our reputation, customer complaints, possible litigation and loss of existing or potential customers, which may impede our sales or other critical functions. Cybersecurity threats are constantly evolving. We remain potentially vulnerable to additional known or as yet unknown threats, as in some instances, we and our customers, partners and suppliers may be unaware of an incident or its magnitude and effects. We also face the risk that we could unintentionally expose our customers to cybersecurity attacks through the systems we deliver to them, including in the form of malware or other types of attacks, which could harm our customers. ASML’s visibility and importance for the semiconductor industry continues to increase, which may lead to increased risks of ASML or its employees being targeted in a cybersecurity attack. In addition, processes and systems may not be able to adequately support the growth that we have experienced in recent years and continue to experience. From time to time, we implement updates to our IT systems and software which can disrupt or shut down our IT systems. We may not be able to successfully launch and integrate IT systems as planned without disruption to our operations – for example, our ERP migration. We may not be successful in our AI initiatives and using AI could lead to unintended outcomes. Read more in Strategic report – Performance and risk – Risk – How we manage risk and Strategic report – Corporate conduct STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 75 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
6. Legal and compliance 7. Other risk factors We are subject to regulatory and compliance obligations in the various countries where we operate and the complexity of compliance requirements increases Restrictions on shareholder rights may dilute voting power We may not declare cash dividends, conduct share buyback programs or cancel shares at all or in any particular amounts in any given year Risk category: Violation of laws and regulations We are subject to a variety of laws and regulations across the jurisdictions where we operate, including but not limited to those relating to trade, national security, tax, export controls, reporting, product compliance, anti-corruption, antitrust, ESG, human rights, data protection, AI technologies, spatial planning, environmental matters, securities laws and stock exchange rules. With the significant growth of our business in recent years, ensuring compliance with laws and regulations and our internal policies across our continually expanding organization has become more challenging. We face the risk that, despite our significant efforts and proactive approach to compliance, we may fail to comply with such laws, regulations or policies. We operate in a significant and growing number of countries in the world, and we are therefore subject to numerous and differing, and sometimes conflicting, regulatory frameworks, which can impact how we operate our business. In particular, the regulatory environment regarding export and sanctions has become increasingly restrictive, and as a result, our ability to sell some of our products and services to certain customers is subject to restrictions and requires government authorization, which can lead to delays in or a prohibition on shipments of products to certain customers. Laws and regulations that impact our business are regularly amended and we are subject to new laws and regulations. We are also subject to the changing interpretations by and positioning of regulators, including in the granting of required licenses to ship products as well as in investigations and enforcement. Additional or amended regulations or changes in policies of governments and regulators could increase compliance costs and risks associated with non-compliance or further limit our ability to sell our products and services in certain jurisdictions. We are subject to investigations, audits and reviews by regulatory authorities in the various jurisdictions where we operate regarding compliance with laws and regulations, including tax laws. These may arise due to misunderstandings, disputes, or suspicions of non-compliance or otherwise, and can be resource-intensive and have reputational and financial implications for us. Despite our efforts and proactive compliance program, we may be found to be non-compliant with applicable regulations. Compliance with existing and new regulations can result in compliance costs, increased risk of non-compliance and limitations on our business which can impact our results of operations. The consequences of non-compliance include fines, penalties and litigation, business disruption, the loss of trade or export privileges, reputational harm, additional regulatory scrutiny measures and the erosion of stakeholder trust, any of which could have a material adverse effect on our business and results of operations. ASML's Articles of Association provide that it is subject to the provisions of Dutch law applicable to large corporations, called ‘structuurregime’. These provisions concentrate control of certain corporate decisions and transactions in the hands of the Supervisory Board (SB). As a result, holders of ordinary shares may have more difficulty in protecting their interests in the face of actions by members of the SB than if we were not subject to the ‘structuurregime’. Our authorized share capital includes a class of cumulative preference shares. We have granted our preference shares foundation (Stichting Preferente Aandelen ASML) an option to acquire, at the nominal value of €0.09 per share, such cumulative preference shares. Exercise of the preference share option would effectively dilute the voting power of our outstanding ordinary shares by one-half, which may discourage or significantly impede a third party from acquiring a majority of our voting shares. We aim to pay a quarterly dividend that is growing (on an annualized basis) over time, and we conduct share buybacks from time to time. The dividend proposal, amount of share buybacks and cancellation of shares in any given year are subject to, among other factors, the availability of distributable profits, retained earnings and cash, the BoM's views on our potential future liquidity requirements, including for investments in production capacity and working capital requirements, the funding of our R&D programs and acquisition opportunities that may arise from time to time, and future changes in applicable tax and corporate laws. The BoM may decide not to pay a dividend or to pay a lower dividend than is contemplated by our aim or dividend policy. In addition, we may suspend, adjust the amount of or discontinue share buyback programs, we may not enter into new share buyback programs, and we may otherwise fail to complete buyback programs. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 76 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Risk factors (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 77 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Corporate conduct 78 Corporate conduct at ASML 79 Respecting human rights 83 Our approach to tax 85 Competition law compliance 86 Information security 88 Privacy and personal data protection 89 Export controls and sanctions 90 Intellectual property protection 91 Product safety


 
At ASML, we are committed to ethical corporate conduct, emphasizing human rights, compliance, transparency, information security and sustainable practices in all operations. We respect human rights by promoting a diverse and inclusive workplace, ensuring fair labor practices, and adhering to ethical standards throughout our supply chain. We actively engage in initiatives that support employee well-being and community development, fostering a culture of respect. We rely heavily on the skills, commitment and behavior of employees across our organization. It is only through their actions that we can build the trust and respect we need to make our sustainability transition a success and make a positive contribution to society. Our approach to tax reflects our dedication to transparency and ethical practices, ensuring that our financial dealings reflect our values. Our strict adherence to competition laws promotes fair market practices, fostering a level playing field for all stakeholders. Information security is a top priority – due to the growth of both our company and geopolitical tensions, ASML is increasingly targeted by threat actors. Moreover, as we grow, so too does the complexity of our products, supply chain and global footprint. We therefore seek to invest in robust security protocols and ensure all our operations comply with the most stringent safety regulations. We emphasize the importance of privacy and the protection of personal data for our employees, customers, and partners. Furthermore, we comply with export controls and sanctions to protect our operations and uphold our reputation in the global market. Intellectual property protection is essential to our innovation strategy, allowing us to safeguard our technological advancements and maintain a competitive edge. Product safety is also a critical focus, as we strive to ensure that our technologies meet the highest industry standards. By embedding these principles into our corporate conduct, we aim to build trust with our stakeholders and fulfill our responsibilities to society and the environment. Our commitment to ethical practices not only enhances our reputation but also contributes to sustainable development and positive societal impact. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 78 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Corporate conduct at ASML


 
Respecting universal human rights is both an organizational and an individual responsibility – from the boardroom to the factory floor. We remain passionately committed to respecting fundamental human rights and have sought to enshrine the basic Human Rights due diligence principles applying to businesses via our Code of Conduct, our Human Rights Policy and the RBA Code of Conduct. Through these codes and policies, we actively support the principles laid down in international instruments such as the UN Guiding Principles on Business and Human Rights (UNGPs), the OECD Guidelines for Multinational Enterprises on Responsible Business Conduct (OECD Guidelines) and the International Labor Organization (ILO) core conventions. In the area of ESG sustainability, companies are experiencing an important paradigm shift, not only in relation to new disclosure requirements but also in terms of developing an understanding of what it means in practice to respect the environment and human rights. In addition to embracing many other regulatory developments regarding climate and the environment, we implemented the German Supply Chain Due Diligence Act as of January 1, 2024, for our German operations in scope and are already preparing for the implementation of the EU Corporate Sustainability Due Diligence Directive (CSDDD), which was approved by the Member States in May 2024. We will continue to monitor (legislative) developments in this area. How we manage human rights To both support and help drive our human rights program, we are taking steps to deliver on our ESG sustainability framework, which encompasses themes such as Responsible value chain and Attractive workplace for all. These themes inspire multiple agendas across our value chain as well as our own internal human rights program, several diversity and inclusion initiatives and employee well-being programs. Alongside efforts to further embed integrity across our culture, these initiatives are designed to contribute to the advocacy and promotion of human rights within our own operations and across our value chain. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 79 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Respecting human rights


 
Program governance The human rights program is driven by the Human Rights Committee, which is chaired by the Head of Ethics & Business Integrity and Human Rights, a team within the Legal & Compliance department. The Committee consists of representatives from various departments within our company, namely Legal & Compliance, Strategic Sourcing & Procurement, ESG Sustainability, ESG Reporting and Human Resources (HR). The Committee members liaise with other functions across the organization on an ad hoc basis. The Committee acts in the first instance as a task force, driving the implementation of the human rights program. It also explores and reviews response measures to human rights impacts, and coordinates human rights related issues. Human rights is one of the risk areas overseen by the Compliance, Ethics, Security and Risk Committee (CESR). The CESR meets regularly and is chaired by the CFO. The CESR sub-committee (CESR Ethics Committee), which is facilitated by the Head of Ethics & Business Integrity and Human Rights and chaired by the Chief Legal Officer, oversees the investigation of ethics cases and reports into the CESR. Read more in Sustainability statements – Governance – ESG integrated governance - Business ethics and Code of Conduct Various teams collaborate to develop human rights and related policies for our employees, as well as developing program initiatives and leading due diligence programs, including third-party Responsible Business Alliance audits. Certain human rights topics, such as privacy and EHS, are managed by various expert teams. Diversity and inclusion is managed within the Human Resources department, along with several other labor and employment topics having relevance to human rights such as equality, training and development. Other topics are managed across the business, such as forced labor (including bonded or indentured labor) – a broad, overarching topic requiring input from many perspectives such as Human Resources, Strategic Sourcing & Procurement, Legal & Compliance, Export Control, and Tax and Customs. The Investor Relations team, the Legal & Compliance department and the ESG Sustainability team communicate global legislative developments and stakeholder expectations, including those of investors, across the organization. Employee communication takes place via multiple channels and platforms. In addition to formal means of worker representation such as works councils and trade union representation, a global Employee Relations function has been established to provide additional support in addressing employee needs and concerns regarding HR-related topics. Employee feedback is obtained via numerous means including surveys. Various employee platforms and processes enable employee groups to express their needs and provide input and feedback. Read more in Sustainability statements – Social – Attractive workplace for all - How we're managing – Process for engaging and Sustainability statements – Governance – ESG integrated governance - Business ethics and Code of Conduct Remediation and grievance mechanism We are committed to conducting due diligence in order to prevent our activities from causing or contributing to adverse impacts on human rights, and to ensure we do not engage in human rights abuses in any way. We aim to provide effective remedies to affected rights holders where an impact has been identified and confirmed. Our global Speak Up Service is available for our own employees, on-site external workers, workers across our value chain and people in affected communities. Read more in Sustainability statements – Governance – ESG integrated governance - Business ethics and Code of Conduct Continuously evolving our approach to human rights 2024 saw the substantial development of our human rights program. Following the completion of our Saliency Assessment, which you can read more about on the following page, we carried out a management gap analysis to identify areas where we need to focus on building capacity to strengthen our program. In order to validate the results of our Saliency Assessment, we also conducted an external stakeholder engagement with more than 20 organizations representing the interests of rights holders in our supply chain and downstream value chain, including NGOs, civil society organizations, trade union federations, investors, suppliers and customers. In 2023, ASML became a member of the United Nations Global Compact (UNGC) and we submitted our first Communication on Progress in July 2024. As part of our Human Rights roadmap for the coming years, we established a number of distinct programs aimed at further prioritizing our supply chain, enhancing our human rights due diligence program and developing a systematic approach to supply chain due diligence. Read more in Sustainability statements – Social – Responsible value chain STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 80 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Respecting human rights (continued)


 
Human Rights Saliency Assessment A Human Rights Saliency Assessment forms an integral part of human rights due diligence, focusing on potential human rights impacts. This type of assessment helps companies identify where to prioritize and focus their resources. In 2023-2024 we conducted a Saliency Assessment to identify the most salient potential negative impacts on our employees, workers across our value chain and affected communities. This Saliency Assessment allows us to prioritize potential negative impacts based on: • severity (i.e. the scope, scale and irremediability of impacts) • the likelihood of harm In determining appropriate preventative and mitigating measures, we consider the nature of our involvement (i.e. whether we caused or contributed to the impact) as well as the extent to which we can effect change in the wrongful practices of another party that is causing or contributing to the negative impact. Not all salient negative impacts to people (employees, workers across our value chain and affected communities) result in risks to our company. The purpose of the Saliency Assessment is to help us prioritize our prevention and mitigation initiatives towards the identified potential risks towards people. The outcomes of our Saliency Assessment will be reflected in the next update of our double materiality assessment. Through harmonization of prioritization criteria between saliency and impact materiality, salient issues can be integrated in our double materiality assessment. In addition, double materiality includes topics reflecting environmental impacts, risks and opportunities to ASML. Saliency Assessment – Own operations The most salient potential negative impacts with regard to all groups of workers we identified are as explained below. For those impacts identified as salient, we have various existing programs and controls in place, are further enhancing these and are developing our approaches to mitigation. • Risk of unequal treatment and harassment: Although we have several measures in place to mitigate this risk within the company, the risk of unequal treatment and harassment remains, as we operate globally with a diverse population. • Risk of excessive working hours: We have strict policies in place regarding maximum working hours, but commercial and operational urgencies can nevertheless create a risk of excessive working hours. • Risks linked to occupational health and safety: While we consider this risk well managed, the impact can be severe and all workers can be impacted. We also assessed the rights of vulnerable groups across our own operations and identified additional salient potential negative impacts. To address the rights and needs of these vulnerable groups, we developed and enhanced a number of programs, introduced controls and established improvement targets. • On-site external workers: Bonded or indentured labor; social security, living wage; access to grievance mechanism and freedom of expression. In alignment with Responsible Business Alliance (RBA) guidance on the prohibition of forced labor, we have implemented additional controls to prevent the payment of improper recruitment fees (to seek and retain employment) by workers, especially migrant workers, to or through labor agents. • Women: Unequal pay (gender pay gap); enhanced risk of harassment and unequal treatment. Our global employee network for women provides women with an opportunity to share and raise common issues, including salient topics of inequality and harassment. We introduced programs designed around development, skills and visibility for female talents. We continuously work to address the risk of harassment by ensuring that the topic is included in our awareness program and clearly addressing this in our Code of Conduct and associated training. • Young workers: Freedom of expression. Our global employee network Next (early career) provides young workers with a space in which they can share, develop and find channels to express their needs and opinions. Read more in Sustainability statements – Social – Attractive workplace for all - Diversity and inclusion We have identified potential negative impacts on affected communities in several areas. Affected communities may not always have the right to a fair trial. In such cases, the risk of not being able to have their human rights concerns addressed is increased where they also do not have access to, or face barriers in accessing the company’s grievance mechanism. Health and environmental impacts, while medium to low in likelihood, pose a high inherent risk due to the potential severity and number of people affected. Read more in Sustainability statements – Social – Valued partner in our communities Saliency Assessment – Supply chain We conducted the Saliency Assessment with regard to product-related goods as well as non-product-related goods and services. In addition, we conducted an assessment of the main materials that we source. Deeper supply chain As expected, with regard to the provision of goods/products, we see very high potential negative impacts at the mining and extraction stages, particularly in relation to environmental impacts, land rights, abuse of force by security forces toward communities, and health and safety. We also see a (very) high risk of child and forced labor in the mining of conflict minerals, sand, oil and gas extraction, and in the agricultural sector (e.g. inputs for adhesives and sealings). The Saliency Assessment is the first step we have taken to identify potential impacts, and the deeper supply chain assessment therefore only considered industry risks. All potential impacts identified are therefore very high and further prioritization will require a deeper assessment. Processing stage of the supply chain In the materials processing stage, we see potential (medium to high) impacts in respect of forced labor, freedom of association, excessive working hours, and health and safety. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 81 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Respecting human rights (continued)


 
Manufacturing stages of the supply chain In the manufacturing stages (typically our direct suppliers and the first tiers beyond Tier 1), we see higher risks in two key areas: Electronic components and boards: Due to the fact that the electronics manufacturing industry is extremely dynamic, requiring the industry to be flexible. This tends to result in lower value-adding, labor-intensive, less advanced economies, low-skilled workforces and lower labor cost, all adding up to an increased risk of labor exploitation. Specifically, we identified the following salient topics: • Occupational health and safety, excessive overtime and lack of freedom of association • Child and student labor, particularly in the electronics industry • Forced labor in electronics manufacturing in certain countries. Structural metal products: Specifically, we identified the following salient topics: • Occupational health and safety risks are higher in basic metal production (e.g. hazards such as molten metal) • Environmental impacts to communities due to toxic emissions (e.g. toxic metals, mercury, CO2) to water and air. With regard to the provision of services, we identified the following potential negative impacts: • Transport and warehousing: Low-skilled workforces. This is a result of the often- intensive use of labor agents. We identified risks relating to the living wage and a lower degree of worker organization, both of which can lead to forced labor. Migrant workers are especially vulnerable. • Temporary labor: We identified risks of health and safety, freedom of association, unequal treatment and the living wage, where fragmented and discontinuous work relations increase vulnerability. • Site services / facility management / building maintenance: We see increased risk for workers providing on-site cleaning security and catering services, for example. Here we see a lower-skilled workforce (compared to, for example, installation services) which is typically more vulnerable. • Waste collection and treatment: This is linked to the recycling industry. There is often intensive use of low-skilled, temporary workers, heightening, for example, the risk of forced labor. This sector uses potentially dangerous equipment, so we also see an increased risk to workers’ health and safety. The abovementioned potential impacts are myriad and require further prioritization in order for us to manage them effectively. We already have considerable controls and measures in place to manage the mentioned risks and will continue to tailor these to meet our objective of preventing and mitigating negative impacts. Read more in Sustainability statements – Social – Responsible value chain Saliency Assessment – Downstream value chain With regard to potential negative impacts in our downstream value chain, we conducted the Saliency Assessment in line with the UNGPs and OECD Guidelines, taking into account the reporting requirements of the CSRD and ESRS. We therefore considered a broad range of potential impacts to workers in the downstream value chain, end users and consumers, and affected communities. At the time of conducting the Saliency Assessment, the CSDDD was not yet published. Accordingly, we are in the process of considering the application of this legislation to our approach to downstream impacts. The Saliency Assessment is an element of our overarching human rights and environmental due diligence process, which forms a cornerstone for assessing the material risks, impacts and opportunities associated with our business operations. What's next: Human Rights roadmap Our Human Rights roadmap will be based on the outcomes of the Saliency Assessment and our management gap analysis. It is designed to enable us to meet our objective: a robust Human Rights framework that ensures that we have the capabilities to prevent or mitigate risks appropriately, monitor and evaluate our processes and the effectiveness of measures taken, and report and communicate meaningfully on our progress. The roadmap is intended to help us focus on gaining an enhanced understanding of Human Rights impacts in our own operations as well as with regard to affected communities. It steers us toward developing global guidance on salient labor topics, such as harassment, improving ways of obtaining meaningful internal rights holder feedback, identifying the needs of vulnerable groups, and developing tailored training, communication and awareness campaigns. The roadmap will guide us toward integrating human rights further into our ERM and other related risk management processes. It will also support us in moving toward a deeper understanding of the impact of business strategies on human rights across our value chain. Key topics revolve around building supply chain due diligence processes and enhancing our existing grievance mechanism – our Speak Up system – to meet the effectiveness criteria for 'non-judicial grievance mechanisms' described in Article 31 of the UNGPs – in particular, providing greater accessibility to workers across our value chain and affected communities. In 2025, we plan to update our Human Rights Policy to describe our evolving approach to Human Rights due diligence. In alignment with the Human Rights Policy, we also plan to update our Speak Up and Non-retaliation Policy. Read more in our Human Rights Policy at asml.com We received no grievances about breaches of Human Rights in 2024. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 82 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Respecting human rights (continued)


 
Openness, honesty and transparency are central to our sustainability strategy – and apply as equally to our tax approach as to our ESG initiatives. €1.1bn Income tax paid 20241 (2023: €2.6bn) 18.3% Effective tax rate 2024 (2023: 17.1%) The taxes ASML pays make a valuable contribution to the communities in which we operate and are an integral part of our responsibility for social value creation. We remain firmly committed to complying with all applicable tax laws and regulations in a prompt, timely manner. Income tax paid (received) in our most significant countries of operation 1 3 4 5 1. Netherlands €762m 2. United States1 €(209)m 3. Taiwan €78m 4. South Korea €336m 5. China €58m 1. In the United States the income tax paid was offset with a refund of excess prepayments made in 2023 and earlier years. How we manage tax Our Approach to Tax Report provides the most relevant, up-to-date information relating to our operating model, tax principles and tax strategy – including how we interact with our stakeholders. It also includes financial information from a country-by-country reporting perspective and our overall tax contribution to society. We have signed up to the Tax Governance Code as drafted by the VNO-NCW. Our guiding principle is that our tax position should reflect our business operations, which we define as the sale of lithography systems and related products and services, supported by manufacturing and R&D activities. ASML has a straightforward operating model, with our campus in Veldhoven, the Netherlands, at the heart of our global operations, and a Board of Management accountable for our tax strategy, tax principles and overall tax risk management. These are subsequently reviewed by the Audit Committee. The ASML Tax and Customs department is responsible for the execution of the tax strategy set by the Board of Management. Read more in our Tax Report at asml.com Our tax principles The following principles guide us in how we report and pay tax in the countries where we operate. Compliance • We respect the tax laws applicable in each country. We are committed to acting in accordance with the letter, intent and spirit of tax laws and regulations. • We make tax disclosures in accordance with reporting requirements, US GAAP and International financial reporting standards (IFRS), where applicable. • ASML’s profit allocation methods are based on internationally accepted standards as published by the OECD. We apply these consistently across our business, contingent on the relevant local rules and regulations in the local jurisdictions where we operate. Support tax systems • We report taxable income in a jurisdiction commensurate with the added value of the business activities in that jurisdiction. • We do not use so-called ‘tax havens’ (as defined by the European Commission’s ‘blacklist’) for tax avoidance. Relationships with authorities • As appropriate, we pursue an open and constructive dialogue with tax authorities and relevant other authorities in the jurisdictions where we operate, based on mutual respect, transparency and trust, disclosing all relevant facts and circumstances. We do not use tax structures intended for tax avoidance, nor will we engage in the artificial transfer of profits to low tax jurisdictions. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 83 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our approach to tax


 
Our tax strategy ASML’s tax strategy is based on our principles and closely aligned with our business strategy and our sustainability goals. It is approved by the Board of Management and, like our tax principles and overall tax risk management, applies to all group entities. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 84 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Our approach to tax (continued) 1 2 Stakeholder management The future of taxation Externally, we communicate on a regular basis with tax authorities, regulators and investors. Internally, we support our business in managing risks, staying in control, remaining efficient in both our administrative procedures and way of working, and working in an integrated way with other experts. We closely monitor global developments in tax transparency, ESG related taxes, tax technology and continuously translate these into potential requirements or implications for ASML. 3 4 5 Compliance and control Tax and customs organization Projects We develop, implement and monitor processes or controls for tax risk management and reporting purposes. We strive for the timely and accurate fulfillment of compliance obligations in line with applicable tax laws and regulations, including the timely payment of taxes due. In a fast-changing world, it’s important to have a diverse team comprising more than just competent tax and customs experts. Communication, digital and project management skills are increasingly important, so we strive to work and develop together in line with ASML’s core values: challenge, collaborate and care. Our business and the regulatory environments in which we operate change constantly. We are always working on projects to deal with these changes and ensure the solutions implemented are compliant and efficient. Likewise, we continuously strive for simplification and review of existing business models to ensure we remain tax and customs compliant.


 
We know that staying compliant with competition law is essential for ensuring the proper function of the market. Competition law impacts a number of areas in our day-to-day business and has consequences for our interactions with customers, suppliers, co-developers and other partners. We are committed to the principles of fair competition and do not condone any form of conduct that is illegal under applicable competition laws or our own Code of Conduct. We expect our partners (customers, suppliers, consultants, contractors and intermediaries) to demonstrate high standards of ethical behavior consistent with our own. ASML did not incur any fines for breaches of competition law in 2024. Read more in ASML’s public Competition Law Compliance Policy How we manage competition law compliance We have a number of general and specific control measures in place to prevent, detect and disclose potential competition law issues. These include: STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 85 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Competition law compliance 1 2 Competition law compliance risk assessment Policy review Our Competition Law Compliance Policy demonstrates our commitment to ensuring company-wide compliance. Any act of an employee or business partner contrary to this policy is considered a significant breach of our Code of Conduct, and may lead to disciplinary measures up to and including dismissal. We made a version of the policy publicly available in 2020, which is reviewed periodically, and published an updated version in 2021. We regularly perform risk assessments of relevant competition law focus areas. These help identify any risks that may be present, improve existing controls, and provide strategies on any remaining risks and measures to mitigate them. 3 4 Training and awareness Reporting/resolving issues, violations or complaintsCompetition law training is a mix of computer-based and in-person sessions, with the latter provided by the Global Legal Expertise team for Competition & Foreign Direct Investment and tailored to relevant stakeholders. We also promote awareness of competition law through channels such as presentations, intranet articles and email communications. Training topics are based on their relevance to the semiconductor industry, current legal developments and wider trends. We support every employee or partner who refuses to engage in anticompetitive conduct and reports potential violations as stated in our Speak Up and Non-retaliation Policy. We do not tolerate any form of retaliation against those who adhere to competition law rules or who speak up, even if we lose business as a result.


 
ASML’s competitive edge is based on knowledge and intellectual property (IP) developed over decades. This knowledge sits in the minds of our employees and many other people within our thriving ecosystem of suppliers, partners, customers and knowledge institutions. This ecosystem is largely based on the exchange of ideas and insights, which makes the protection of knowledge a challenge, but also makes it difficult for others to replicate our work. This knowledge is captured in our information management infrastructure. Our prime objective is to protect the integrity and confidentiality of our critical information and data while ensuring continuity of our operations. This should be embedded in our processes, people and infrastructure. However, as we innovate and collaborate together, our partners will inevitably need access to some parts of our systems' infrastructure. We must ensure that this is enabled in a secure way, with best-in-class security functions deployed across our infrastructure to manage security threats and risks. We are also confronted with new EU regulations such as NIS2 and the Cyber Resilience Act (CRA) and in the US with Cyber Incident Reporting for Critical Infrastructure (Cybersecurity and Infrastructure Security Agency), which highlight regulators seeking to ensure that critical infrastructure organizations are securing themselves effectively. As perpetrators make use of more advanced methods, implementing adequate responses becomes more complex – so we continue to take steps to try to deal with this effectively. In the event of a security incident involving the loss of information assets, the materiality of the incident is jointly assessed by technology leaders and subject matter experts with support from Corporate Intellectual Property and Legal and Compliance. In 2024, as far as we are aware, ASML had zero incidents with a material impact. Read more in Strategic report – Performance and risk – Risk – Risk factors – Cybersecurity and other security incidents, or disruptions in our processes or information technology systems, could materially adversely affect our business operations How we manage information security We have a dedicated Security function to ensure we properly manage all security risks. The security risk assessment process, which includes cybersecurity, sits within our ERM process and follows our governance structure, with the Security Committee as a sub-committee of the Compliance, Ethics, Security and Risk Committee (CESR), which acts as the oversight committee mandated by the Board of Management (BoM). The three layers of our security governance framework are: 1.The Security Committee: Ensures and promotes the integration of security risk management methodologies and related controls in ASML’s business processes. The Security Committee reports into the CESR. 2.The Security Function Management team: Ensures the implementation and execution of security risk management methodologies and related controls in ASML’s business processes. 3.The Security Expert team: Determines the risk and control strategies and generates input for tactical plans by providing content expertise and setting requirements. This governance framework enables cross- disciplinary alignment through structured meetings and ensures integration throughout our broader risk management profile. Alongside evaluation by our Internal Audit department, we have engaged several third parties to evaluate security capability and maturity and provide both expertise and resources to assist in identifying and managing material cybersecurity risks. Some examples of these engagements include external validation of security management systems, capability assessments, red- teaming, penetration testing and tabletop exercises. The Security function led by the CISO monitors risk prevention, detection, mitigation and remediation processes related to cybersecurity, and regularly reports to the Security Governance and to the Audit Committee. We believe each member of the Supervisory Board is qualified to advise on the oversight of cybersecurity risks through their employment experience and/or educational background in risk management. We have implemented processes to identify and respond to cybersecurity threats intended to comply with standards set by the International Organization for Standardization (ISO 27002), International Society of Automation (ISA/IEC 62443) and US National Institute of Standards and Technology (NIST Cybersecurity Framework). We have a dedicated team that works to increase our strength and maturity and minimize exploitable vulnerabilities by monitoring threats, assessing our vulnerability and defining incident responses. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 86 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Information security


 
The central security organization was set up to define the policies, procedures and the adherence to these policies in a second line role, coordinated closely with the security representatives in the business. In addition, the central security organization delivers operational services to the ASML organization via the Security Operations Center (SOC). In case of incidents, the SOC is to be the central point for dealing with these incidents effectively. In the event of a possible material cybersecurity incident, the Corporate Crisis Management team (CCMT) verifies the assessment, proposed response and disclosure requirements. The CCMT is chaired by the Chief Operations Officer, who reports to the Board of Management on our proposed response and then takes the decision to the Supervisory Board. A dedicated governance structure is in place to deal with a crisis situation effectively. The Chief Information Security Officer (CISO) coordinates the response as a second line of responsibility, along with the security teams in the business. Third-party cybersecurity risks In order to both oversee and identify risks from cybersecurity threats associated with our use of third parties, all providers are required to comply with our ASML Security Controls (part of the Supplier Security Policy). We assess and monitor providers using a risk-based approach based on standards set by the International organization for Standardization (ISO 27002), the International Society of Automation (ISA/ IEC 62443) and the US National Institute of Standards and Technology (NIST Cybersecurity Framework). We also have a dedicated team to deploy procedures to increase our resistance strength and minimize vulnerabilities by monitoring threats, assessing our vulnerability through testing and defining responses. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 87 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Information security (continued)


 
In an increasingly interconnected world, safeguarding personal information is not only a regulatory requirement but a cornerstone of trust with our employees, customers and partners. How we manage privacy protection We continue to enhance our privacy program with the aim of ensuring compliance with applicable laws and regulations across the jurisdictions in which we operate. Our approach is guided by the principles of accountability, transparency and respect for the rights of individuals. We prioritize the responsible handling of personal data and are dedicated to implementing best practices. Our privacy program consists of the various approaches, processes and tools established by ASML to manage privacy matters in a responsible manner and process personal information in compliance with relevant privacy laws. Our global privacy policy is an essential building block in complying with applicable privacy and data protection legislation relating to the processing of personal data. Furthermore, we have three separate privacy notices for our employees, business partners and visitors, and job applicants respectively – describing how we collect, use, retain and disclose personal data, and for which purposes. Key initiatives undertaken during 2024 include: Strategy The Privacy Office’s strategic objectives and initiatives are captured in an annual plan that serves as a roadmap for our privacy efforts. One of the strategic pillars is centered on the ability to leverage the infrastructure present at ASML. By formalizing our approach, we aim to enhance accountability and drive continuous improvement in our privacy practices. Optimizing privacy processes In the spirit of continuous improvement, we regularly review our existing privacy processes, with the use of technology and automation to optimize efficiency. This optimization not only reduces operational risks but also enables us to respond more effectively to the evolving privacy landscape. Training and awareness We conduct comprehensive training programs for our employees to foster a culture of privacy awareness. As we move forward, we remain committed to continuously improving our privacy practices and adapting to the evolving regulatory landscape. We recognize that maintaining the trust of our stakeholders is paramount, and we will continue to prioritize the protection of personal information in our business activities. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 88 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Privacy and personal data protection


 
We are subject to export controls and sanctions that impact our business. How we manage export controls and sanctions Every ASML employee is required to follow all of our policies and procedures, which have been designed to promote compliance and prevent unauthorized transactions. We have implemented controls and other measures to protect against breaches of export control and sanctions requirements, and we remain focused on strengthening and enhancing the key pillars of our export control and sanctions compliance framework. These include: • Governance: At a senior management level, the Compliance, Ethics, Security and Risk Committee (CESR), supported by the Export Control Council, oversees the efficiency and effectiveness of our export control and sanctions compliance framework. The global Export Control and Sanctions team, reporting to the Chief Compliance Officer, also manages the framework and provides assistance and guidance where needed. Each employee is responsible for reading and understanding the content and implications of the Export Control and Sanctions Policy. • Compliance organization: We keep our Export Control and Sanctions compliance organization sufficiently staffed and trained. This ensures that our growing business – and the increasingly complex and challenging regulatory landscape in which we operate – is supported with adequate expertise and experience. • Policies and procedures: We embed export control and sanctions controls in all of our relevant business processes. We regularly assess the effectiveness of our policies, procedures, systems and controls and update them as necessary. • Training: Building awareness around the importance of export control and sanctions compliance is a top priority. We do this through continual updates and briefings. • Audit: Export control and sanctions compliance are included in our internal audit program. The Internal Audit team periodically audits key export control and sanctions risk areas as a matter of course. New export control restrictions On September 6, 2024, the Dutch government imposed new export license requirements on the export of TWINSCAN NXT:1970i and 1980i DUV immersion lithography systems, as well as on the export and transfer of specially designed parts, software or technology for these systems outside of the EU. This is a technical change that ensures that the Dutch government is the sole licensing authority for the shipment of these systems from the Netherlands to other countries. ASML has updated its processes and systems to comply with these new export license requirements. On December 2, 2024, the US authorities published an updated version of the advanced computing and semiconductor manufacturing equipment rule, imposing additional restrictions on suppliers for the export of chip manufacturing technology. These regulations became effective immediately with a delayed compliance date of December 31, 2024 for some of the changes. The updated export control regulations contain additions to the list of restricted technologies including metrology and software. In addition, further fab locations, mainly in China, were added to the US list of restrictions. ASML is fully committed to complying with all applicable laws and regulations including export control legislation in the countries in which we operate, while we continue to develop our technology and serve our customers to the best of our ability. Read more in Strategic report – Performance and risk – Risk – Risk factors – We are subject to regulatory and compliance obligations in the various countries where we operate and as our business grows ensuring compliance becomes more challenging STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 89 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Export controls and sanctions


 
Our company is based on people and knowledge. Our specific knowledge gives us a leading edge and a head start over competitors. It is key that we protect our own knowledge as well as the information entrusted to ASML by our customers and business partners. How we manage intellectual property Patents are a way to protect ASML’s R&D investments from unauthorized use by third parties, including exploitation by our competitors, customers, suppliers and co- developers. We innovate and develop our technology with our ecosystem partners, which comprise many different companies and institutions, each of which requires a dedicated way of dealing with IP matters. ASML’s general IP strategy has three objectives: 1. Build and maintain a solid IP portfolio by protecting ASML's inventions. 2. Prevent situations where ASML infringes on the IP rights of third parties. 3. Prevent the unauthorized disclosure of confidential information, including know- how and trade secrets, to the outside world. Patent portfolio trend IP R p or tfo lio (n um be r o f p at en ts ) R & D investm ents IP portfolio R&D investments 2019 2020 2021 2022 2023 2024 10,000 12,500 15,000 17,500 20,000 €0bn €2bn €4bn €6bn Processes are in place to address these objectives. The objective of preventing unauthorized disclosure is addressed by, among others, a dedicated knowledge protection program, restricted access to engineering top secrets, an information security program, mandatory information classification, and a training and awareness program. Our Corporate Intellectual Property department is tasked with strengthening our global IP position. The department’s mission is to maximize ASML’s IP value, to execute and support ASML’s overall objectives and to preserve ASML’s freedom of operation. To protect our technology leadership and our R&D in leading-edge technology, the department is involved in the product generation process and assesses new products to determine whether they would potentially infringe any relevant third-party IP rights. We have adopted controls, policies and procedures intended to safeguard the protection of our trade secrets, proprietary customer data and other information. Read more in Strategic report – Corporate conduct – Information security and Strategic report – Performance and risk – Risk – Risk factors STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 90 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Intellectual property protection


 
We innovate with safety in mind. As a considerate and conscientious manufacturer, it is our ongoing duty to provide safe, secure and well-designed products. As our company has grown, so too have the challenges we face. Our products are increasingly complex and we operate in more geographical locations than ever, making it difficult to assess which safety legislation, regulations or compliance procedures apply. In fact, some of our technology is so cutting- edge that current safety standards simply haven’t caught up. Existing standards are often unable to provide guidance on safe designs – for example, for high-power drive laser and high-pressure equipment – meaning we must either define our own protections or work hand in hand with regulatory authorities. Another challenge is consistency. Safety is tricky when there are so many people working on the design of a product, or when that design is outsourced to a supplier. Our fast shipment process also means we sometimes skip some of the testing in the factory and conduct final testing and formal acceptance at a customer’s site – meaning we have to adapt our ways of working regarding product safety. And, with fast- changing legislation on chemicals such as PFAS (per- and polyfluoroalkyl substances) and RoHS (Restriction of Hazardous Substances), it can be a challenge to keep track. How we manage product safety To help to ensure both our products and tools comply with the most stringent regulations, we focus on safety at every stage of the product life cycle: research, design, development, production, transport, installation, maintenance, upgrades and decommissioning. Our Global Product Safety and Regulatory organization is part of Quality and Excellence, which coordinates our overall product safety approach. To support ASML products, each product line has dedicated safety engineers who make a first-level system risk assessment. To support safe design, we’ve also defined and implemented 12 key risk areas and associated product safety competencies in line with the ISO 12100 standard in the design of machinery, with risk experts supporting individual projects. We are further extending our global expertise by hiring country safety and regulatory experts. Our Safety and Regulatory Office is tasked with tracking new product safety legislation and standards and ensuring our products are compliant. The Regulatory Board is responsible for decision-making on product safety compliance, the strategy to eliminate non-compliance, monitoring compliance status and risk mitigation. It discusses possible non-compliance cases and makes decisions based on the mitigation plan presented. Ensuring safety compliance Every product shipped and every tool developed by ASML complies with SEMI S2 – the Environmental, Health, and Safety Guideline for Semiconductor Manufacturing Equipment. These guidelines are incorporated into the Safety System Performance Specification. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 91 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Product safety


 
Designing in safety Prevention is key. We focus first on safety by design in hardware, and then safety by procedure. Safe products start with a well- thought-out design and safety requirements built in from the very start of the design process. Since human factors play an important role in the safe operation of a product, our first step is always to guard against them becoming a risk. This helps prevent workplace activities from turning into potential accidents. If there are no safety precautions available to address potential hazards, we develop our own. When we start designing our systems, our engineers conduct an initial safety risk assessment (SRA). Our product designers are trained to identify safety issues early on in the design process, and the SRA is evaluated throughout the entire product development process. We evaluate product safety at each stage of the product life cycle and track reported product-related incidents through our incident-reporting system. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 92 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Product safety (continued) Our product safety competencies The role of our development and engineering (D&E) safety competence leads is to provide in-depth knowledge on any background legislation and standards applicable in their area, as well as defining design rules, providing training and acting as consultants to mitigate specific safety hazards in our products. This includes areas such as: • Working at height: A new area of expertise required during the design of our EXE:5000 – our first EUV 0.55 NA (High NA) system – to guarantee good access to the various system areas and components. • Radiation: Focusing mainly on lasers with intensities that go beyond standard, as well as considering the impacts of standard and special lamps and LEDs. • Functional safety: Our complex machines contain many active protective functions to protect the user against hazards. Examples are sensors which monitor currents, pressure or temperatures and independently put the system into a safe position when needed (e.g. Lockout Tagout procedure). • Safety in procedures: Supporting the creation of written safety procedures for complex operations. • Thermal: The use of tin at high temperatures requires special precautions. • Dangerous gases: The use of gases requires safety systems and procedures to protect machines and people. For example, nitrogen is an asphyxiation hazard and the use of hydrogen in EUV has additional applicable legislations and standards. • Materials and substances: Monitoring worldwide legislation to check the legal status of all materials used in our products and ensuring that we do not use or introduce hazardous materials. • Electrical: Making electrical design safe and protecting people from electrical shock. This involves making conductors carrying hazardous voltages inaccessible, ensuring accessible conductors don’t carry hazardous voltages and ensuring inaccessible conductors are sufficiently insulated from accessible ones. • Pressure: Interpreting and explaining local legislation and standards, advising on testing and documentation, and maintaining the manufacturing record book. • Human factor engineering (including ergonomics): Incorporating a human- centered design approach to maintain access for maintenance and servicing by laying down rules for issues such as accessibility, posture, forces and lifting parts. • Mechanical: Keeping track of safety factors and seismic requirements for our machines. • Lifting: Advising on special requirements such as the certification and training of crane operators in countries where we use lifting tools, and when certification is needed. For example, in South Korea, certification is required for weights of 500 kg or more.


 
EUV 0.55 NA (High NA) safety compliance Our latest product, EUV 0.55 NA (High NA), is the next generation of EUV machines. The development of the system presented challenges for product safety due to its larger overall size, height and weight of modules, and more complex accessibility. Having started the third-party safety design review in 2022, we continued with hardware reviews in 2023, leading up to a full review report in 2024. The first shipment to customers conforms to the requirements. Increasing product safety in the supply chain Product safety does not end at our own facilities. We work to spread this out across our partners’ operations by promoting product safety in the supply chain – with the aim that all the products we ship comply with the most stringent legislation, including designs made or supplied by our suppliers in the value chain. A large proportion of our innovation and development takes place at our suppliers’ sites, so our goal is for suppliers to have the capability to deliver safe and compliant products to avoid accidents or incidents, safety-related non- compliance issues and delayed shipments. We have defined an end-to-end process in close cooperation with our suppliers, ensuring deliveries meet our safety requirements. Dangerous goods management Following the successful completion of our dangerous goods program, dangerous goods management is now structurally embedded across our organization. Policies, processes, guidelines and IT infrastructure are now in place to enable dedicated specialists to manage dangerous goods as part of our competence groups. Hazardous properties are identified at an early stage in the design process to ensure measures are taken for the safe handling, transport and storage of our products – on time and with greater efficiency. Activities are overseen by the safety and compliance organization to safeguard the active control of regulations and legislation impacting ASML products. Materials and substance compliance We follow stringent regulations in each of the markets in which we operate. This currently includes RoHS, REACH (Registration, Evaluation, Authorisation and Restriction of Chemicals) and the Batteries Directive in the EU, K-REACH (Act on the Registration and Evaluation of Chemicals) in South Korea and TSCA (Toxic Substances Control Act) in the US. We’ve implemented multiple initiatives to overcome compliance challenges. These help address an increasing number of regulatory changes, the number of unique parts used in our products (>50,000), the number of regulated substances we use (>100) and the extensive reach of our global supply chain. Activities in 2024 include: • A multidisciplinary program embedding processes throughout our organization – improving our IT solutions, enabling automated supply chain communication and delivering flexible reporting capabilities • Strengthening regulatory presence in key markets for timely implementation of new regulations in our product design • A proactive approach toward upcoming regulations such as PFAS, TSCA, F-Gas and the REACH directive by taking part in semiconductor industry working groups, through our membership of the PFAS Consortium, by working with our business partners and the supply chain, and by establishing a working relationship with a well-respected firm of consultants. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 93 At a glance Q&A with the CEO 2024 stories Our business Performance Risk Corporate conduct Product safety (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 94 Corporate governance Supervisory Board report Remuneration report Corporate governance 95 Corporate governance 97 Board of Management 99 Supervisory Board 102 Other Board-related matters 106 AGM and share capital 110 Financial reporting and audit 112 Compliance with corporate governance requirements Supervisory Board report 114 An interview with our Chair of the Supervisory Board 116 Supervisory Board focus in 2024 120 Meetings and attendance 125 Supervisory Board committees 137 Financial statements and profit allocation Remuneration report 138 Message from the Chair of the Remuneration Committee 140 Remuneration at a glance 142 Remuneration Committee 145 Board of Management remuneration 161 Supervisory Board remuneration 164 Other information 165 Directors’ responsibility statement


 
OVERVIEW These pages provide an overview of and a brief introduction to the Corporate governance section of our Annual Report. I am confident that our new management team and continued focus on technological leadership will secure our long-term success.” Nils Andersen Chair of the Supervisory Board Supervisory Board skills International management 89% Finance/governance 78% Remuneration 78% Human resources 89% IT/digital/cyber 67% ESG 100% Semiconductor ecosystem 67% Technology 56% Supply chain 89% Business in Asia 89% Stakeholders We regularly engage with our stakeholders to understand the impact we have on them, and what their needs and expectations are. Read more on page 44 > Board of Management remuneration (€’000s) Our Board of Management (BoM) remuneration policy is designed to fairly incentivize our BoM to deliver on our business priorities and create sustainable long-term value. Christophe D. Fouquet €5,432 Frederic J.M. Schneider-Maunoury €4,209 Roger J.M. Dassen €4,190 Wayne R. Allan €3,897 James (Jim) P. Koonmen1 €2,347 Base salary and benefit STI LTI Read more on page 145 > 1. James (Jim) P. Koonmen was appointed as a BoM member on April 24, 2024. Total remuneration is included as of this date. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 95 Corporate governance Supervisory Board report Remuneration report Corporate governance at a glance We champion integrated corporate governance to build a relationship of trust, respect and mutual benefit with our stakeholders. Supervisory Board diversity, nationality and tenure Supervisory Board attendance Supervisory Board Audit Committee Remuneration Committee Selection and Nomination Committee Technology Committee ESG Committee 95% 97% 100% 100% 100% 100% Read more on page 120 > 56% 44% 4.2 Men Women Years average tenure (2023: 3.2) Read more on page 116 > Dutch x2 German x1 American x2 British x1 Danish x1 Belgian x2 Supervisory Board nationality Read more on page 121 > 2024 strategic priorities 1 Deepen customer trust 2 Extend our technology and holistic product leadership 3 Strengthen ecosystem relationships 4 Create an exceptional workplace 5 Drive operational excellence 6 Deliver on our ESG sustainability mission and responsibilities Read more on page 140 >


 
We endorse the importance of good corporate governance – of which independence, accountability and transparency are the most significant elements. These are also the elements on which we can build a relationship of trust with our stakeholders. ASML Holding NV is a public limited liability company operating under Dutch law. Our shares are listed on Euronext Amsterdam and Nasdaq. We have a two-tier board structure consisting of a Board of Management responsible for managing the company, and an independent Supervisory Board which supervises and advises the Board of Management. For the fulfillment of their duties, the two Boards are accountable to the General Meeting, the corporate body representing our shareholders. Our governance structure is based on our Articles of Association, Dutch (and where relevant EU) corporate and securities laws, and the Dutch Corporate Governance Code. Because we are listed on Nasdaq, we are also required to comply with applicable provisions of the Sarbanes-Oxley Act, the Nasdaq Listing Rules, and the rules and regulations promulgated by the US Securities and Exchange Commission as applied to ‘foreign private issuers’ such as ASML. We are subject to the relevant provisions of Dutch law applicable to large corporations ('structuurregime') which have the effect of concentrating control over certain corporate decisions and transactions in the hands of the Supervisory Board. Procedures for the appointment and dismissal of Board of Management and Supervisory Board members are based on the structuurregime. This section of the Annual Report addresses our corporate governance structure and the way we apply the principles and best practices of the Dutch Corporate Governance Code. It also provides information required by the Decree adopting further rules related to the content of the management report and the Decree implementing Article 10 of the Takeover Directive. We signed up to the VNO-NCW Tax Governance Code and report on the application of its principles in the section Our approach to tax and in our more comprehensive Tax Report 2024 on our website. In accordance with the Dutch Corporate Governance Code (mccg.nl/english), other parts of this Annual Report address our strategy and culture aimed at sustainable long-term value creation, our values and Code of Conduct, and the main features of our internal control and risk management systems. Read more in Strategic report – At a glance, Strategic report – Our business – Our business strategy and Our business model, Strategic report – Performance and risk – Risk – How we manage risk and Sustainability statements – General disclosures – ESG sustainability governance ASML corporate governance structure Shareholders Supervisory Board Audit Committee ESG Committee Remuneration Committee Selection and Nomination Committee Technology Committee Board of Management STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 96 Corporate governance Supervisory Board report Remuneration report Corporate governance ASML organization Business axis: Customer Business axis: Product Technology axis Execution axis Enabling axis


 
Our Board of Management is responsible for managing ASML. Its responsibilities include establishing a position on the relevance of sustainable long-term value creation for ASML and our business, defining and deploying our strategy, establishing and maintaining effective risk management and control systems, and managing the realization of our operational and financial objectives and the ESG aspects relevant to us. In fulfilling its management tasks and responsibilities, the Board of Management is guided by the interests of ASML and our business and takes into consideration the interests of our stakeholders. The current Board of Management comprises five members. Effective per the 2024 AGM, former President and CEO Peter Wennink and former President and CTO Martin van den Brink retired. Christophe Fouquet was appointed President and CEO per the 2024 AGM. On the same date, Jim Koonmen was appointed Chief Customer Officer and member of the Board of Management, underscoring our ambition to continuously increase our responsiveness to customer needs and to consistently deliver high-performance products and services. As a result of the above and effective per the 2024 AGM, our Board of Management has a single-presidency structure, under the chairpersonship of the President and CEO. The Board of Management divides tasks among its members, charging individual members with specific managerial tasks. However, the Board of Management remains collectively responsible for the management of ASML. The Board of Management is supervised and advised by the Supervisory Board. The Board of Management provides the Supervisory Board with all the information, in writing or otherwise, necessary for the Supervisory Board to properly carry out its duties. In addition to the information provided in their regular meetings, the Board of Management provides the Supervisory Board with regular updates on developments relating to our business, financials and operations, and industry developments in general. Certain important decisions of the Board of Management require the approval of the Supervisory Board. For details, see the Supervisory Board report in this Corporate governance section. Further information regarding the general responsibilities of the Board of Management, its relationships with the Supervisory Board and various stakeholders, the decision- making process within the Board of Management and the logistics surrounding the meetings can be found in the Board of Management’s Rules of Procedure. These are published in the Governance section of our website. Appointments Members of the Board of Management are appointed by the Supervisory Board on the recommendation of the Selection and Nomination Committee and upon notification to the General Meeting. Members of the Board of Management are appointed for a term of four years. Reappointment for consecutive four-year terms is possible. For persons aged 65 years or above, a maximum appointment term of two years applies, with the possibility of reappointment for consecutive two-year terms. The relationship between ASML Holding NV and the Board of Management members does not constitute an employment agreement pursuant to Dutch law. Accordingly, ASML Holding NV has entered into management services agreements with all of our Board of Management members except for Jim Koonmen, with whom ASML US, LLC has entered into an employment agreement. The management services agreements between ASML and the Board of Management members contain specific provisions regarding severance payments. If we terminate the agreement for reasons not exclusively or mainly found in acts or omissions of the Board of Management member, a severance payment not exceeding one year’s base salary is payable. Furthermore, the agreements stipulate that a member of the Board of Management, when giving notice of termination pursuant to a change of control, will be entitled to a severance amount. Given that such a resignation is specifically linked to a change of control, we do not consider this provision a deviation from the Dutch Corporate Governance Code. The Supervisory Board may suspend and dismiss members of the Board of Management, but this can only take place after consulting the General Meeting. More information about changes related to the Board of Management during 2024 can be found in the Supervisory Board report included in this Annual Report. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 97 Corporate governance Supervisory Board report Remuneration report Board of Management Our core strategy consists of six priorities 1 Deepen customer trust 4 Create an exceptional workplace 2 Extend our technology and holistic product leadership 5 Drive operational excellence 3 Strengthen ecosystem relationships 6 Deliver on our ESG sustainability mission and responsibilities


 
Roger J.M. Dassen (1965, Dutch) James (Jim) P. Koonmen (1967, American, Irish) Executive Vice President and Chief Financial Officer Term expires 2026 Executive Vice President and Chief Customer Officer Term expires 2028 Roger Dassen joined ASML in June 2018 and was appointed Executive Vice President and CFO and member of the Board of Management at the AGM the same year. He had previously served as Global Vice Chair and member of the Executive Board of Deloitte Touche Tohmatsu Limited, having been CEO of Deloitte Holding BV. Roger holds a master’s in Economics and Business Administration, a post-master’s in Auditing and a PhD in Business Administration, all from the University of Maastricht. He is Professor of Auditing at Vrije Universiteit Amsterdam, and sits on the Supervisory Board of the Dutch National Bank. He is also the Chair of the Supervisory Board of Maastricht University Medical Center+ and serves on the Board of the Stichting Brainport. Jim Koonmen joined ASML in 2007 through the acquisition of Brion, where he was General Manager from 2008 until 2015. He subsequently served as the CEO of Cymer and then led the Applications business for five years. Before he joined ASML, Jim was Vice President of Marketing and Operations at MEMX, Director of Manufacturing Engineering at Onetta and Director of Operations at Johnson & Johnson. Jim holds a Master of Science in Management from the MIT Sloan School of Management and a Master of Science in Aeronautics and Astronautics from the Massachusetts Institute of Technology. Christophe D. Fouquet (1973, French) Wayne R. Allan (1967, American) Frédéric J.M. Schneider-Maunoury (1961, French) President, Chief Executive Officer and Chair of the Board of Management Term expires 2028 Executive Vice President and Chief Strategic Sourcing & Procurement Officer Term expires 2027 Executive Vice President and Chief Operations Officer Term expires 2026 Christophe Fouquet became President and CEO in 2024, having served as Executive Vice President EUV from 2018 until 2022, Executive Vice President and Chief Business Officer from 2022 until 2024 and member of the Board of Management since 2018. Since joining ASML in 2008, he has held several positions, including Senior Director Marketing, Vice President Product Management, and Executive Vice President Applications, a position he held from 2013 until 2018. Prior to joining ASML, he worked for semiconductor equipment peers KLA-Tencor and Applied Materials. Christophe holds a master’s degree in Physics from the Institut Polytechnique de Grenoble. Wayne Allan was appointed Executive Vice President, Chief Strategic Sourcing & Procurement Officer and member of the Board of Management in 2023. Wayne joined ASML in 2018 as Executive Vice President of Customer Support. Before then, Wayne served as Senior Vice President of Global Manufacturing Operations and as Vice President of Wafer Fabs at Micron Technology, Inc. the company where he began his career in 1987 as a production operator. He continued to move into operations roles of increasing leadership in engineering, planning and production. Frédéric Schneider-Maunoury has been Executive Vice President and Chief Operations Officer since he joined ASML in 2009. He was appointed to the Board of Management in 2010. Prior to joining ASML, Frédéric was Vice President Thermal Products Manufacturing at power generation and rail transport equipment group Alstom, having previously served as General Manager of its worldwide Hydro Business. Before this, Frédéric had held various positions at the French Ministry of Trade and Industry. He is a graduate of École polytechnique (1985) and École Nationale Supérieure des Mines (1988) in Paris. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 98 Corporate governance Supervisory Board report Remuneration report Board of Management (continued)


 
Our Supervisory Board supervises the Board of Management and the general course of affairs of ASML and our subsidiaries. The Supervisory Board also supports the Board of Management with advice. In fulfilling its role and responsibilities, the Supervisory Board takes into consideration the interests of ASML and our business, as well as the relevant interests of our stakeholders. In our two-tier structure, the Supervisory Board is a separate and independent body from the Board of Management and from ASML. No member of the Supervisory Board personally maintains a business relationship with ASML, other than as a member of the Supervisory Board. The Supervisory Board currently consists of nine members, with the minimum being three. In performing its tasks, the Supervisory Board focuses on matters including our corporate strategy, aimed at sustainable long-term value creation and its execution; the staffing of and succession planning for the Board of Management; the management of risks inherent to our business activities; the financial reporting process; compliance with applicable legislation and regulations; our culture and the activities of the Board of Management in that regard; the relationship with shareholders and other stakeholders; and environmental, social and governance (ESG) aspects important for ASML. Important management decisions – such as setting the operational and financial objectives, the strategy designed to achieve these objectives, major investments, budget, and the issue, repurchase and cancellation of shares – require the Supervisory Board’s approval. The Supervisory Board is governed by its Rules of Procedure. Items covered in these rules include the responsibilities of the Supervisory Board and its committees, the composition of the Supervisory Board and its committees, logistics surrounding the meetings, the meeting attendance of members of the Supervisory Board, the rotation schedule for these members and the committee charters. The Supervisory Board’s Rules of Procedure and the committee charters are regularly reviewed and, if needed, amended. The Audit Committee charter is reviewed annually to confirm that it still complies with applicable rules and regulations, including those relating to the Sarbanes-Oxley Act. Read more information on the meetings and activities of the Supervisory Board in 2024 in Supervisory Board report – Meetings and attendance Appointments Members of the Supervisory Board are appointed by the General Meeting based on binding nominations proposed by the Supervisory Board. When nominating persons for (re)appointment, the Supervisory Board checks whether the candidates fit the Supervisory Board’s profile, which is available in the Governance section of our website. The General Meeting may reject binding nominations by way of a resolution adopted with an absolute majority of the votes cast, representing at least one-third of our outstanding share capital. If the votes cast in favor of such a resolution do not represent at least one-third of the total outstanding capital, a new shareholders’ meeting can be convened – at which the nomination can be overruled by an absolute majority. The Supervisory Board generally informs the General Meeting and the Works Council about upcoming end of appointment terms at the AGM in the year preceding the actual end of the appointment term(s). This ensures the Works Council and the General Meeting have sufficient opportunity to recommend candidates for the upcoming vacancies. The Supervisory Board has the right to reject proposed recommendations. Furthermore, the Works Council has an enhanced right to make recommendations for one-third of the members of the Supervisory Board. This enhanced recommendation right implies that the Supervisory Board may only reject the Works Council’s recommendations in limited circumstances: (i) if the relevant person is unsuitable or (ii) if the Supervisory Board would not be duly composed if the recommended person were appointed. Members of the Supervisory Board serve for a maximum term of four years or a shorter period as per the Supervisory Board’s rotation schedule. Supervisory Board appointment process Stage 1 Stage 2 Stage 3 Stage 4 Stage 5 Recommendation right of GM and Works Council Announcement of nomination for appointment by SB Works Council has the right to determine its position Formal nomination for appointment by SB Appointment of SB member by GM Supervisory Board members are eligible for reappointment for another maximum term of four years, after which members may be reappointed again for a maximum period of two years. This appointment may be extended for a final term of no more than two years. The rotation schedule is available in the Governance section of our website. If the General Meeting loses confidence in the Supervisory Board, it may, by an absolute majority of the votes representing at least one-third of the total outstanding capital, withdraw its confidence in the Supervisory Board – resulting in the immediate dismissal of the entire Supervisory Board. In such a case, the Enterprise Chamber of the Amsterdam Court of Appeal shall appoint one or more members to the Supervisory Board at the request of the Board of Management. Further information about changes to the Supervisory Board‘s composition in 2024 and 2025 can be found in the Supervisory Board report Supervisory Board committees The Supervisory Board, while retaining overall responsibility, has assigned some of its tasks and responsibilities to five committees: the Audit Committee, the ESG Committee, the Remuneration Committee, the Selection and Nomination Committee, and the Technology Committee. Further information on the Supervisory Board committees can be found in the Supervisory Board report and in the charters of the committees as posted on our website STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 99 Corporate governance Supervisory Board report Remuneration report Supervisory Board


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 100 Corporate governance Supervisory Board report Remuneration report Supervisory Board (continued) Nils S. Andersen (1958, Danish) Nils Andersen joined the Supervisory Board in 2023, and has been its Chair since. Nils also serves as Chair of the Board of Scan Global Logistics A/S. From 2015 until May 2024, he served as Non-Executive Director of Unilever Plc and was appointed as Chair as per 2019. From 2018 until 2023, he was the Chair of the Supervisory Board of Akzo Nobel NV and, between 2007 and 2016, he was Group Chief Executive of A.P. Møller –Mærsk. From 2001 until 2007, Nils served as President and Chief Executive Officer of Carlsberg and Carlsberg Breweries. Member of the Supervisory Board since 2023 (First term expires in 2027) Chair of the Supervisory Board, Chair of the Selection and Nomination Committee Antoinette (Annet) P. Aris (1958, Dutch) Member of the Supervisory Board since 2015 (Fourth term expires in 2025) Vice Chair of the Supervisory Board, Member of the Remuneration Committee, the Selection and Nomination Committee, and the Technology Committee Annet Aris has been a member of the Supervisory Board since 2015. She is Senior Affiliate Professor of Strategy (since 2003) and Academic Director of the Corporate Governance Centre (since 2023) at INSEAD business school, France. From 1994 to 2003, she was a partner at McKinsey & Company in Germany. Annet also sits on the supervisory boards of Jungheinrich AG and Randstad Holding NV. Birgit M. Conix (1965, Belgian) Birgit Conix became a member of the Supervisory Board in 2021. Effective per February 1, 2025, she was appointed as Non-Executive Director of AstraZeneca PLC and resides in the audit committee. Prior to this, she was CFO and a member of the Management Board of Sonova Holding AG from June 2021 until January 31, 2025. From 2018 until January 1, 2021, Birgit was a member of the Executive Board and CFO of TUI AG. She was previously the CFO of the Belgian media, cable and telecommunications company Telenet Group NV. Prior to that, Birgit held various management positions in finance at Johnson & Johnson, Heineken, Tenneco and Reed Elsevier. Member of the Supervisory Board since 2021 (First term expires in 2025) Chair of the ESG Committee and member of the Audit Committee D. Mark Durcan (1961, American) Member of the Supervisory Board since 2020 (Second term expires in 2028) Chair of the Technology Committee, member of the Selection and Nomination Committee Mark Durcan was appointed as a member of the Supervisory Board in 2020. He is a Non- Executive Director at Advanced Micro Devices, Inc., and Board Member and Lead Independent Director at Cencora. He is also a member of the Board of Trustees for Rice University (Texas) and as Director at Natural Intelligence Systems CA, a private AI startup company. From 2012 to 2017, he was CEO of Micron Technology, Inc., having joined the company in 1984 and having held various management positions before being appointed CEO. Furthermore, Mark was a Director at Freescale Semiconductor, MWI Veterinary Supply, Veoneer, Inc. and St Luke’s Health System (Idaho).


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 101 Corporate governance Supervisory Board report Remuneration report Supervisory Board (continued) D. Warren A. East (1961, British) Member of the Supervisory Board since 2020 (Second term expires in 2028) Member of the Audit Committee and the Technology Committee Warren East became a member of the Supervisory Board in 2020 and is currently a Non-Executive Board member at Tokamak Energy plc. Furthermore, he is also currently the Chair of the Board of Directors of  C-Capture Ltd. and NATS Holdings Ltd., the UK’s National Air Traffic Service. Warren was CEO of Rolls-Royce Group Plc from 2015 until December 2022. He spent his early career at Texas Instruments Ltd. from 1985 to 1994 before joining ARM Holdings, Plc, where he held various management positions and was appointed CEO from 2001 to 2013. Alexander F.M. Everke (1963, German) Member of the Supervisory Board since 2022 (First term expires in 2026) Member of the ESG Committee and the Remuneration Committee Alexander Everke joined the Supervisory Board in 2022. He also serves as member of the Board of Aixtron SE, a position he has held since May 2024. He is the former CEO of ams-OSRAM AG, a position he held from March 2016 until March 2023, after having joined ams AG in October 2015. Prior to that, Alexander held a range of positions in the semiconductor industry, including management roles at Siemens and Infineon and various leadership positions at NXP Semiconductors. Terri L. Kelly (1961, American) Member of the Supervisory Board since 2018 (Second term expires in 2026) Chair of the Remuneration Committee, member of the Selection and Nomination Committee Terri Kelly has been a member of the Supervisory Board since 2018. Previously, she was President and CEO at W.L. Gore & Associates from 2005 until 2018, having worked at Gore since 1983 in various management roles. She also served on Gore’s Board of Directors through July 2018. Terri is a Trustee of the Alfred I. Dupont Charitable Trust, which provides oversight of the Nemours Foundation. She is the Chair of the Board of the University of Delaware and a member of the Board of Directors of United Rentals, Inc. Jack P. de Kreij (1959, Dutch) Jack de Kreij joined the Supervisory Board in 2023. Among other roles, he is currently the Vice Chair of the Supervisory Board and Chair of the Audit Committee at TomTom NV and Wolters Kluwer NV. Jack is also a member of the Supervisory Board, Chair of the Audit Committee and member of the ESG Committee at Royal Boskalis Westminster NV. In addition, he is the Chair of the Board of the Dutch Association of Listed Companies (VEUO). From 2003 to 2018, Jack was CFO and a member of the Executive Board of Royal Vopak NV, taking on the role of Vice Chair from 2010 to 2018. Between 1986 and 2003 he worked at PricewaterhouseCoopers, where he held various management positions as (Senior) Partner and was among other roles Managing Partner & Territory Leader of the M&A-focused Transaction Services practice in the Netherlands. Jack started his career in 1980 with the Dutch Ministry of Finance, where he worked until 1986. Member of the Supervisory Board since 2023 (First term expires in 2027) Chair of the Audit Committee and member of the Remuneration Committee An L. Steegen (1971, Belgian) Member of the Supervisory Board since 2022 (First term expires in 2026) Member of the ESG Committee and the Technology Committee An Steegen joined the Supervisory Board in 2022. She is CEO and member of the Board of Directors of Barco NV since September 1, 2024, after having served as a co-CEO and member of the Board of Directors since October 1 , 2021. Prior to that, An was R&D director at IBM Semiconductor and Executive Vice President at the research institute imec in Belgium. Furthermore, An was CTO and Executive Vice President Electronic and Electro-Optical Materials at Umicore.


 
Supervisory Board Dutch x2 56% German x1 American x2 Male members British x1 Supervisory Board nationality Danish x1 44% Belgian x2 Female members The section below addresses a number of topics that apply to both the Board of Management and the Supervisory Board. Diversity On December 11, 2024, the United States Court of Appeals for the Fifth Circuit vacated the Nasdaq Stock Market’s listing standards with respect to board diversity. Pursuant to such listing standard, we, as a foreign private issuer, were previously required to have at least two diverse Supervisory Board members or explain the reasons for not meeting this objective. A Board diversity matrix was also previously required to be included in the Annual Report on Form 20-F, containing certain demographic and other information regarding members of the Supervisory Board. While the Nasdaq rules are no longer effective, Dutch legal requirements regarding a diverse composition of the Supervisory Board continue to apply to ASML and this Annual Report contains information about Supervisory Board diversity in accordance with those Dutch legal requirements. On January 1, 2022, the Dutch gender diversity bill came into force, introducing a quota for the supervisory boards of Dutch listed companies following which the composition of the supervisory board should comprise at least one-third men and one-third women. New appointments will be declared null and void in the event of non-compliance with this requirement. The bill also introduced a requirement to set ambitious gender balance targets for boards of management and senior management of large listed and non-listed Dutch NVs and BVs and a plan outlining the actions needed in order to meet the gender diversity targets. Based on the gender diversity bill, companies are required to report on the gender balance targets, the plan and their progress made in achieving the gender balance targets to the Dutch Social and Economic Council within 10 months after the end of the financial year and in the management report. The 2022 Dutch Corporate Governance Code contains a requirement to adopt diversity and inclusion (D&I) policies for the Board of Management and the Supervisory Board as well as a company-wide D&I Policy for the entire workforce including senior management. As part thereof, ASML has set targets on gender diversity and other D&I aspects relevant for ASML. Currently, the Supervisory Board meets the gender quota of the Dutch gender diversity bill, as both men and women are represented on the Supervisory Board by at least three out of nine members. During 2023, the Supervisory Board adopted the Supervisory Board D&I Policy, which has been incorporated as an annex to the Supervisory Board's Rules of Procedure – which can be found on our website. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 102 Corporate governance Supervisory Board report Remuneration report Other Board-related matters At ASML, we believe that innovation thrives in an inclusive environment where diverse perspectives are valued.” Annet Aris Vice Chair of the Supervisory Board


 
We are highly motivated to see more women pursuing careers in engineering and science.” Christophe Fouquet President, Chief Executive Officer and Chair of the Board of Management Currently, no seats are taken by women on the Board of Management. During 2022, the Supervisory Board updated the Board of Management Diversity Policy and set a gender balance target for the Board of Management to have at least one female and at least one male Board of Management member in 2026. When setting the gender balance target for the Board of Management, the Supervisory Board has considered the technology environment we operate in, with a thinly populated global STEM (science, technology, engineering and math) talent pool, making it challenging to recruit female talent. The Supervisory Board also considered the female representation of the ASML group overall as well as the female representation in senior leadership (JG 13+) at that time. Since 2022, gender diversity targets have been set as part of ASML’s ESG sustainability strategy and as part of the long-term incentive for the Board of Management and senior management, and ASML has set up a company-wide diversity & inclusion program. Despite these measures taken to improve the inflow and representation of women in the company overall and in senior leadership in particular, increasing gender diversity at the Board of Management remains challenging and is expected to take time. The Supervisory Board also included performance metrics aimed at improving the representation of women in senior leadership in the Board of Management's long-term incentive compensation. The Board of Management Diversity Policy is part of the Board of Management's Rules of Procedure, which can be found on our website. The Supervisory Board fully supports our diversity and inclusion (D&I) strategy as set out in this Annual Report. We recognize that human capital is our most valuable asset and that our success is driven by our unique and diverse teams. Diversity promotes the inclusion of different perspectives and ideas, mitigates against groupthink and ensures we can benefit from all available talent. This also applies to the Board of Management and our senior management, where a diverse composition contributes to robust decision-making and proper functioning. Diversity complements our company values: challenge, collaborate and care. We are building and implementing company-wide programs to further promote D&I at all levels of our workforce. This includes specific programs aimed at attracting, retaining and developing diverse leaders with the purpose of increasing our talent pool of diverse talent for senior leadership and Board of Management positions. Our Global Diversity and Inclusion Council, founded in 2021, consists of senior leaders who act on behalf of ASML to provide thought leadership. The Council, chaired by the CEO, proposes the D&I strategy to the Board of Management, sets, promotes and monitors diversity and inclusion initiatives, and leads company- wide accountability for our goals. We also have a global D&I team, including a Chief Diversity Officer, responsible for driving initiatives that are related to D&I across ASML. Our company-wide D&I approach is integrated into our people strategy and focuses on three key areas within ASML: leadership, culture and talent. The Attractive workplace for all section contains more information about our D&I approach and our targets and performance in 2024 as well as a look ahead at our D&I agenda and priority areas for 2025. Read more in Sustainability statements – Social – Attractive workplace for all STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 103 Corporate governance Supervisory Board report Remuneration report Other Board-related matters (continued) 26% 18% 21% Gender diversity: % inflow of women Gender diversity: % representation of women in job grade 13+ Women in entire workforce 2024 (headcount) Many backgrounds, one purpose.


 
For the Board of Management specifically, the Supervisory Board selects candidates for appointment to the Board of Management with due observance of our objective to foster a diverse and inclusive working environment. Accordingly, we aim to fill vacancies by considering candidates that bring the required expertise and contribute to our diversity. The Supervisory Board, when assessing the composition of the Board of Management and identifying suitable candidates for succession, will consider candidates on merit against objective criteria and the specific profile for the job, while having due regard for the relevant aspects of diversity. This applies in particular to continuously striving for more balanced gender representation. In our internal development efforts for potential Board of Management members, we strive for participation of a diverse group of employees, specifically senior leadership. Any search firm engaged by the Supervisory Board or its Selection and Nomination Committee will be specifically directed to include diverse candidates in general and multiple female candidates in particular. Read more information on our diversity and inclusion strategy, initiatives, women in leadership and performance data in Sustainability statements - Social – Attractive workplace for all Remuneration and share ownership The remuneration of the Board of Management is determined by the Supervisory Board, on recommendation of the Remuneration Committee and in accordance with the Remuneration Policy for the Board of Management. The current Remuneration Policy for the Board of Management was adopted by the General Meeting in 2022. The remuneration of the Supervisory Board is based on the Remuneration Policy for the Supervisory Board. The current Remuneration Policy for the Supervisory Board and the remuneration amounts were adopted by the General Meeting in 2023. The remuneration of the Supervisory Board is not dependent on our (financial) results. Members of the Supervisory Board do not receive ASML shares, or rights to acquire ASML shares, as part of their remuneration. Board of Management and Supervisory Board members who acquire or have acquired ASML shares or rights to acquire ASML shares must intend to keep these for long-term investment only. In concluding transactions in ASML shares, members of the Board of Management and the Supervisory Board must comply with our Insider Trading Rules. Any transactions in ASML shares performed by members of the Board of Management and the Supervisory Board are reported to the Dutch AFM. Nils Andersen holds 1,060 ASML shares. No other member of the Supervisory Board currently has any ASML shares or rights to acquire ASML shares. We will not and have not granted any personal loans, guarantees or the like to members of the Board of Management and the Supervisory Board. Our Articles of Association provide for the indemnification of the members of the Board of Management and the Supervisory Board against claims that are a direct result of their tasks, provided that such claims are not attributable to willful misconduct or intentional recklessness of the respective member. We have also implemented the indemnification of the members of the Board of Management and the Supervisory Board by means of separate indemnification agreements for each member. Detailed information on the Board of Management’s and the Supervisory Board’s remuneration can be found in the Remuneration Report STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 104 Corporate governance Supervisory Board report Remuneration report Other Board-related matters (continued)


 
Conflicts of interest and related party transactions Conflict of interest procedures are incorporated in both the Board of Management’s and the Supervisory Board’s Rules of Procedure. These procedures reflect Dutch law and the principles and best practice provisions of the Code with respect to conflicts of interest. There have been no transactions in 2024, nor are there currently any transactions, between ASML or any of our subsidiaries, or any significant shareholder and any member of the Board of Management, officer, Supervisory Board member or any relative or spouse thereof, other than ordinary course compensation arrangements. Furthermore, we have not granted any personal loans, guarantees or the like to members of the Board of Management or Supervisory Board. Insider trading We have adopted an insider trading policy governing the purchase, sale and other dispositions of our securities by directors, senior management and employees. A copy of the insider trading policy is filed as Exhibit 19.1 hereto. Outside positions Pursuant to Dutch legislation, a member of the Board of Management may not be a Supervisory Board member in more than two other large companies or large foundations, as defined in Dutch law. A member of the Board of Management may not be the Chair of a Supervisory Board of a large company. Board of Management members require prior approval from the Supervisory Board before accepting a position of another large company or foundation. Members of the Board of Management are also required to notify the Supervisory Board of all important functions held or to be held by them. The remuneration received by members of the Board of Management from outside positions, if any, shall be reimbursed to ASML, unless otherwise agreed with the Supervisory Board, in accordance with the Rules of Procedure of the Board of Management. Dutch law stipulates that a Supervisory Board member may not hold more than five Supervisory Board positions in large companies or large foundations as defined in Dutch law, with chairpersonships counting twice. During the financial year 2024, all members of the Board of Management and the Supervisory Board complied with the requirements described. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 105 Corporate governance Supervisory Board report Remuneration report Other Board-related matters (continued)


 
A General Meeting (AGM) is held at least once a year and generally takes place in Veldhoven, the Netherlands. In 2024, shareholders had the option to attend the AGM in person in Veldhoven or virtually. The agenda for the AGM typically includes the following topics: In 2024, we engaged with investors to obtain their perspectives and understand their expectations.” Item 1 Discussion of the Management Report and the adoption of the Financial statements over the past financial year. Item 2 Discussion of the dividend policy and approval of any proposed dividends. Item 3 Advisory vote on the Remuneration report over the past financial year. Item 4 The discharge from liability of the members of the Board of Management and the Supervisory Board for the performance of their responsibilities in the previous financial year. Item 5 The limited authorization for the Board of Management to issue (rights to) shares in ASML’s capital, and to exclude preemptive rights for such issuances, as well as to repurchase shares and to cancel shares. Item 6 Any other topics proposed by the Board of Management, the Supervisory Board or shareholders in accordance with Dutch law and the Articles of Association. Nils Andersen Chair of the Supervisory Board Proposals placed on the agenda by the Supervisory Board, the Board of Management or shareholders – provided that they have submitted the proposals in accordance with the applicable legal provisions – are discussed and resolved upon. Shareholders representing at least 1% of ASML’s outstanding share capital or representing a share value of at least €50 million are entitled to place items on the agenda of a General Meeting at least 60 days before the date of the meeting. Extraordinary general meetings may be held when considered necessary by the Supervisory Board or Board of Management. In addition, an extraordinary general meeting must be held if one or more ordinary or cumulative preference shareholders, who jointly represent at least 10% of the issued share capital, make a written request to that effect to the Supervisory Board and the Board of Management. The request must specify in detail the business to be dealt with. Shareholders’ meetings are convened by public announcement via our website no later than 42 days prior to the meeting, as stipulated by Dutch law. The record date is set at the 28th day prior to the day of the AGM. Persons registered as shareholders on the record date are entitled to attend the meeting and to exercise other shareholder rights. The Board of Management and Supervisory Board provide shareholders with information relevant to the topics on the agenda by means of an explanation of the agenda as well as by documents necessary or helpful for this purpose. The agenda indicates which agenda items are voting items, and which items are for discussion only. All documents related to the General Meeting, including the agenda with explanations, are posted on our website. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 106 Corporate governance Supervisory Board report Remuneration report AGM and share capital


 
ASML shareholders can vote at the AGM by attending and exercising their votes in person or by appointment of a proxy who will vote on their behalf. We do not solicit from or nominate proxies for our shareholders. Hybrid AGM Similar to the 2023 AGM, we organized a hybrid AGM in 2024, accommodating attendance in person as well as virtually by enabling shareholders to follow the proceedings of the meeting via video webcast and to vote electronically during the meeting. Shareholders also had the opportunity to vote in advance via written or electronic proxy. As we highly value interaction with our shareholders, we invited shareholders who attended the AGM in person to ask questions about the agenda items during the AGM and we provided holders of shares traded on Euronext Amsterdam who attended the AGM virtually the opportunity to ask live questions in writing through the virtual meeting platform. All questions raised were answered during the AGM. Resolutions are adopted by the General Meeting by an absolute majority of the votes cast (except where a different proportion of votes are required by the Articles of Association or Dutch law), and there are generally no quorum requirements applicable to such meetings. Voting results from the AGM are made available on our website within 15 days of the meeting. The draft report of the AGM is made available on our website or on request no later than three months after the meeting. Shareholders have the opportunity to provide comments in the subsequent three months, after which the report is adopted by the Chair and the Secretary of the meeting. The adopted report is also available on our website and on request. Powers In addition to the items submitted annually at the AGM, the General Meeting also has other powers, with due observance of the statutory provisions. These include resolving: • To amend the Articles of Association • To issue shares if and insofar as the Board of Management has not been designated by the General Meeting for this purpose and • To adopt the remuneration policies for the members of the Board of Management and the Supervisory Board, and to adopt the remuneration of the Supervisory Board. (Proposed) amendments of the Articles of Association require the approval of the Supervisory Board. A quorum requirement applies for the General Meeting at which an amendment of the Articles of Association is proposed: more than half of the issued share capital is required to be represented, and the proposal requires a voting majority of at least three-quarters of the votes cast. If the quorum requirement is not met, a subsequent General Meeting shall be convened, to be held within four weeks of the first meeting. At this second meeting, the resolution can be adopted with at least three-quarters of the votes cast, irrespective of the share capital represented. If a resolution to amend the Articles of Association is proposed by the Board of Management, the resolution will be adopted with an absolute majority of votes cast irrespective of the represented share capital at the General Meeting. Our Articles of Association are included as Exhibit 1.1 hereto, and are incorporated by reference herein. ASML’s authorized share capital amounts to €126.0 million and is divided into: Type of shares Number of shares Nominal value Votes per share Cumulative preference shares 700,000,000 €0.09 per share 1 Ordinary shares 700,000,000 €0.09 per share 1 The issued and fully paid-up ordinary shares with a nominal value of €0.09 each were as follows: Year ended December 31 2022 2023 2024 Issued ordinary shares with nominal value of €0.09 394,589,411 393,421,721 393,283,720 Issued ordinary treasury shares with nominal value of €0.09 8,548,631 6,162,857 546,972 Total issued ordinary shares with nominal value of €0.09 403,138,042 399,584,578 393,830,692 As of December 31, 2024, 90,315,092 ordinary shares were held by 292 registered holders with a registered address in the US. Since certain of our ordinary shares were held by brokers and nominees, the number of record holders in the US may not be representative of the number of beneficial holders, or of where the beneficial holders are resident. Each ordinary share consists of 900 fractional shares. Fractional shares entitle the holder thereof to a fractional dividend, but do not give entitlement to voting rights. Only those persons who hold shares directly in the share register in the Netherlands, held by us at our address at 5504 DR Veldhoven, De Run 6501, the Netherlands, or in the New York share register, held by JP Morgan Chase Bank, N.A., P.O. Box 64506, St. Paul, MN 55164-0506, United States, can hold fractional shares. Shareholders who hold ordinary shares through the deposit system under the Dutch Securities Bank Giro Transfer Act maintained by the Dutch central securities depository Euroclear Nederland or through the Depository Trust Company cannot hold fractional shares. No cumulative preference shares have been issued. Each share carries one vote. Special voting rights, limitation voting rights and transfers of shares There are no special voting rights on the issued shares in our share capital. There are currently no limitations, either under Dutch law or in our Articles of Association, on the transfer of ordinary shares in the share capital of ASML. Pursuant to our Articles of Association, the Supervisory Board’s approval shall be required for every transfer of cumulative preference shares. Issue and repurchase of (rights to) shares Our Board of Management has the power to issue ordinary shares and cumulative preference shares insofar as it has been authorized to do so by the General Meeting. The Board of Management requires approval of the Supervisory Board for such an issue. The authorization by the General Meeting can only be granted for a certain period not exceeding five years and may be extended for no longer than five years on each STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 107 Corporate governance Supervisory Board report Remuneration report AGM and share capital (continued)


 
occasion. If the General Meeting has not authorized the Board of Management to issue shares, the General Meeting will be authorized to issue shares on the Board of Management’s proposal, provided that the Supervisory Board has approved such a proposal. Holders of our ordinary shares have a preemptive right, in proportion to the aggregate nominal amount they hold. This preemptive right may be restricted or excluded. Holders of ordinary shares do not have preemptive rights with respect to any ordinary shares issued for consideration other than cash or ordinary shares issued to employees. If authorized for this purpose by the General Meeting, the Board of Management has the power, subject to approval of the Supervisory Board, to restrict or exclude the preemptive rights of holders of ordinary shares. 2024 authorization to issue shares At our 2024 AGM, the Board of Management was authorized from April 24, 2024, through October 24, 2025, subject to the approval of the Supervisory Board, to issue shares and/or rights thereto, representing up to a maximum of 5% of our issued share capital at April 24, 2024, plus an additional 5% of our issued share capital at April 24, 2024, that may be issued in connection with mergers, acquisitions and/or (strategic) alliances. Our shareholders also authorized the Board of Management through October 24, 2025, subject to approval of the Supervisory Board, to restrict or exclude preemptive rights with respect to holders of ordinary shares up to a maximum of 5% of our issued share capital in connection with the general authorization to issue shares and/or rights to shares, plus an additional 5% in connection with the authorization to issue shares and/or rights to shares in connection with mergers, acquisitions and/or (strategic) alliances. We may repurchase our issued ordinary shares at any time, subject to compliance with the requirements of Dutch law and our Articles of Association. Any such repurchases are subject to the approval of the Supervisory Board and authorization by the General Meeting, which authorization may not be for more than 18 months. 2024 authorization to repurchase shares At the 2024 AGM, the Board of Management was authorized, subject to Supervisory Board approval, to repurchase through October 24, 2025, up to a maximum of 10% of our issued share capital at April 24, 2024, at a price between the nominal value of the ordinary shares purchased and 110% of the market price of these securities on Euronext Amsterdam or Nasdaq. Read more details on our share buyback program in Consolidated financial statements – Notes to the Consolidated financial statements – 22. Shareholders’ equity ASML Preference Shares Foundation The ASML Preference Shares Foundation (Stichting Preferente Aandelen ASML), a foundation organized under Dutch law, has been granted an option right to acquire preference shares in the share capital of ASML. The Foundation may exercise the Preference Share Option in situations where, in the opinion of the Foundation’s Board of Directors, our interests, our business or the interests of our stakeholders are at stake. This may be the case if: • A public bid for our shares is announced or made, or there is a justified expectation that such a bid will be made without any agreement having been reached with ASML in relation to such a bid; or • In the opinion of the Foundation’s Board of Directors, the (attempted) exercise of the voting rights by one shareholder or more shareholders, acting in concert, is materially in conflict with our interests, our business or our stakeholders. Objectives of the Foundation The Foundation’s objectives are to look after our interests and those of ASML and the enterprises maintained by and/or affiliated in a group with ASML, in such a way that our interests and those of enterprises and all parties concerned are safeguarded in the best possible way, and that influences in conflict with these interests, which might affect the independence or the identity of ASML and those companies, are deterred to the best of the Foundation’s ability, and everything related to the above or possibly conducive thereto. The Foundation aims to realize its objects by acquiring and holding cumulative preference shares in our capital and by exercising the rights attached to these shares, particularly the voting rights. The Preference Share Option The Preference Share Option gives the Foundation the right to acquire such number of cumulative preference shares as the Foundation will require, provided that the aggregate nominal value of such number of cumulative preference shares shall not exceed the aggregate nominal value of the ordinary shares issued at the time of exercise of the Preference Share Option. The subscription price will be equal to their nominal value. Only one-quarter of the subscription price would be payable at the time of initial issuance of the cumulative preference shares, with the other three-quarters of the nominal value only being payable when we call up this amount. Exercise of the Preference Share Option could effectively dilute the voting power of the outstanding ordinary shares by one-half. Cancellation of cumulative preference shares Cancellation and repayment of the issued cumulative preference shares by ASML requires authorization by the General Meeting, on a proposal to this effect made by the Board of Management and approved by the Supervisory Board. If the Preference Share Option is exercised and as a result cumulative preference shares are issued, we will initiate the repurchase or cancellation of all cumulative preference shares held by the Foundation at the Foundation’s request. In that case, we are obliged to effect the repurchase and respective cancellation as soon as possible. A cancellation will result in a repayment of the amount paid and exemption from the obligation to pay up on the cumulative preference shares. A repurchase of the cumulative preference shares can only take place when such shares are fully paid up. If the Foundation does not request that we repurchase or cancel all cumulative preference shares held by the Foundation within 20 months of issuance of these shares, we will be required to convene a General Meeting for the purpose of deciding on a repurchase or cancellation of these shares. Board of Directors The Foundation is independent of ASML. The Board of Directors of the Foundation is composed of four independent members from the Netherlands’ business and academic communities. The Foundation’s Board of Directors is composed, per December 31, 2024, of the following members: Mr. A.P.M. van der Poel, Mr. S. Perrick, Mr. S.S. Vollebregt and Mr. J.B.M. Streppel. Effective per January 1, 2025, Mr. A.P.M. van der Poel was replaced by Mr. W. A. Pelsma. Other than the arrangements made with the Foundation as described above, ASML has not established any other anti-takeover devices. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 108 Corporate governance Supervisory Board report Remuneration report AGM and share capital (continued)


 
Major shareholders The Dutch Act on the supervision of financial markets and US securities laws contain requirements regarding the disclosure of capital interests and voting rights in listed companies. The following table sets forth the total number of ordinary shares owned by each shareholder that reported to the Dutch AFM or the US SEC a beneficial ownership of ordinary shares that is at least 3.0% (5.0%, in the case of the SEC) of our ordinary shares issued and outstanding. Also included in the table below is the total number of ordinary shares owned by our members of the Board of Management and Supervisory Board as of December 31, 2024. The information set out below with respect to shareholders is based on public filings with the SEC and AFM as of February 26, 2025. Shares % of class4 Capital Research and Management Company1 40,615,837 10.33% BlackRock Inc.2 31,259,169 7.95% Members of ASML’s current Board of Management and Supervisory Board (6 persons)3 43,314 0.01% 1. As reported to the AFM on February 7, 2022, Capital Research and Management Company (CRMC) reports 365,542,532 voting rights corresponding to 40,615,837 ordinary shares (based on 9 votes per share), but does not report ownership rights related to those shares. 2. Based solely on the Schedule 13-G/A filed by BlackRock Inc. with the SEC on February 5, 2024, BlackRock Inc. reports voting power with respect to 28,843,069 of these shares. A public filing with the AFM on December 6, 2022, shows an aggregate indirect capital interest of 5.80% and voting rights of 7.23%, based on the total number of issued shares and voting rights at that time. 3. Does not include unvested shares granted to members of the Board of Management. For further information, see Remuneration Report – Board of Management Remuneration. 4. As a percentage of the total number of ordinary shares issued and outstanding, 393,283,720 as of December 31, 2024, which excludes 546,972 ordinary shares which have been issued but are held in treasury by ASML and 15,642 fractional shares of which 15,216 are owned by (former) ASML employees and 426 are owned by ASML. The share ownership percentages reported to the AFM or the SEC are expressed as a percentage of the total number of ordinary shares issued (including treasury stock) and, accordingly, percentages reflected in this table may differ from percentages reported to the AFM or the SEC. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 109 Corporate governance Supervisory Board report Remuneration report AGM and share capital (continued)


 
Annual Reports We publish, among others, the following annual reports regarding the financial year 2024: • The statutory Annual Report, prepared in accordance with the requirements of Dutch law. The Financial statements included therein are prepared in accordance with Part 9 of Book 2 of the Dutch Civil Code and EU-IFRS, and the Sustainability statements included therein are prepared in accordance with the European Sustainability Reporting Standards (ESRS). • The Annual Report on Form 20-F, prepared in accordance with the requirements of the Exchange Act. The Financial statements included therein are prepared in conformity with US GAAP. Both reports have the same qualitative base and provide the same description of our business, corporate governance, risk factors specific to the semiconductor industry, ASML and our shares. We also provide sensitivity analyses by providing: • A narrative explanation of our Financial statements • The context within which financial information should be analyzed • Information about the quality, and variability, of our earnings and cash flow We annually prepare two annual reports including Financial statements and Sustainability statements, as set out on this page. With respect to the process of creating the Annual Report, we have extensive guidelines for the content and layout of our report, primarily based on the applicable laws and regulations referred to above. With respect to the preparation of these and the other financial reports, we apply internal procedures aimed at safeguarding the completeness and accuracy of such information as part of its disclosure controls and procedures. The Disclosure Committee assists the Board of Management in overseeing our disclosure activities and compliance with applicable disclosure requirements arising under Dutch and US law, and other regulatory requirements. These internal procedures are frequently discussed by the Audit Committee and the Supervisory Board. For ASML’s internal risk management and control systems, read more in Strategic report - Performance and risk – Risk – How we manage risk The Supervisory Board has reviewed and approved our 2024 Financial statements and our Sustainability statements as prepared by the Board of Management. KPMG has duly examined our Financial statements and the Auditor’s Report is included in the Other information section. External audit In accordance with Dutch law, our external auditor is appointed by the General Meeting, based on a nomination for appointment by the Supervisory Board. The Supervisory Board bases its nomination on the advice of the Audit Committee and the Board of Management, which annually provide a report to the Supervisory Board on the performance of and relationship with the external auditor, as well as its independence. Our current external auditor, KPMG, was first appointed by the General Meeting in 2015 for the reporting year 2016, and has been reappointed on a yearly basis since. At the 2022 AGM, KPMG was appointed as the external auditor for the reporting years 2023 and 2024. On December 4, 2024, KPMG was appointed by the Supervisory Board as the external auditor to perform a limited assurance engagement and issue an assurance report on the Sustainability statements for the reporting year 2024. On April 26, 2023, the General Meeting adopted the proposal to appoint PricewaterhouseCoopers Accountants NV (PwC) as our external auditor for the reporting year 2025. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 110 Corporate governance Supervisory Board report Remuneration report Financial reporting and audit


 
The Audit Committee reviews and approves the external auditor’s audit plan for the audits planned during the financial year. The audit plan also includes, among other things, the activities of the external auditor with respect to their limited procedures on the quarterly results other than the annual accounts. Proposed services may be pre-approved at the beginning of the year (annual pre- approval) or during the year in case of a particular engagement (specific pre-approval). The annual pre- approval is based on a detailed, itemized list of allowed services to be provided, which is designed to ensure there is no management discretion in determining whether a service has been approved, and to ensure the Audit Committee is informed of each service it is pre- approving. Dutch rules require strict separation of audit and advisory services for Dutch public-interest entities and US regulations restrict services that can be provided by an auditor of a US listed company. Dutch law prohibits the acceptance by the external auditor of other services when an audit is performed. The Audit Committee monitors compliance with Dutch and US rules on services provided by the external auditor. The remuneration of the external auditor is approved by the Audit Committee on behalf of the Supervisory Board, and after consulting the Board of Management. As the Audit Committee has the most relevant insight and experience in this area, the Supervisory Board has delegated these responsibilities to the Audit Committee. Read more information on principal accountant fees and services in Consolidated financial statements – Notes to the Consolidated financial statements – 29. Principal accountant fees and services In principle, the external auditor attends all the Audit Committee meetings. The external auditor’s findings are discussed at these meetings. The Audit Committee reports to the Supervisory Board on the topics discussed with the external auditor, including the external auditor’s reports with regard to the audit of the annual reports as well as the content of the annual reports. Furthermore, the external auditor may attend the Supervisory Board meeting in which the annual external audit report is discussed. The external auditor may also attend Supervisory Board meetings at which the quarterly financial results are discussed. The Audit Committee is to be informed by the external auditor without delay if the external auditor discovers irregularities in the content of the audit of the financial reports. The external auditor is present at our AGM to respond to questions, if any, from the shareholders about the auditor’s report on the Consolidated financial statements. Internal Audit The role of our Internal Audit function is to assess our systems of internal controls by performing independent procedures such as risk-based operational audits, IT audits and compliance audits. The Internal Audit department reports directly to the Audit Committee and to a member of the Board of Management, the CFO. The yearly Internal Audit plan is discussed with and approved by the Board of Management, the Audit Committee and the Supervisory Board. The follow-up on the Internal Audit findings and progress made compared with the plan are discussed on a quarterly basis with the Audit Committee. The external auditor and Internal Audit department have meetings on a regular basis. During 2024, a self-assessment of the Internal Audit function was performed. The results of the assessment were discussed with the Board of Management at the end of 2024 and with the Audit Committee in early 2025. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 111 Corporate governance Supervisory Board report Remuneration report Financial reporting and audit (continued)


 
Corporate information ASML Holding NV is a holding company that operates through its subsidiaries. We have operating subsidiaries in Belgium, China, France, Germany, Hong Kong, Ireland, Israel, Italy, Japan, Malaysia, Singapore, South Korea, Taiwan, the Netherlands, the United Kingdom and the United States. Read more in Consolidated financial statements – Notes to Consolidated financial statements – 27. Subsidiaries and associates US listing requirements As our New York Shares are listed on the Nasdaq Stock Market LLC, Nasdaq corporate governance standards in principle apply to us. However, Nasdaq rules provide that foreign private issuers may follow home country practice in lieu of the Nasdaq corporate governance standards subject to certain exceptions. Our corporate governance practices are primarily based on Dutch requirements. The table on the right side of this page sets forth the practices we follow in lieu of Nasdaq rules, pursuant to the exception described above. Compliance with the Corporate Governance Code We closely follow the developments in the area of corporate governance and the applicability of the relevant corporate governance rules for ASML. Any substantial changes to our corporate governance structure or application of the Corporate Governance Code will be submitted to the General Meeting for discussion. We are of the opinion that we fully comply with the applicable principles and best practice provisions of the Dutch Corporate Governance Code as in effect for the financial year 2024. The Board of Management and the Supervisory Board, Veldhoven, March 5, 2025 Practices followed by ASML in lieu of Nasdaq rules Quorum ASML does not follow Nasdaq’s quorum requirements applicable to meetings of ordinary shareholders. In accordance with Dutch law and generally accepted Dutch business practice, ASML’s Articles of Association provide that there are no quorum requirements generally applicable to general meetings of shareholders. Solicitation of proxies ASML does not follow Nasdaq’s requirements regarding the solicitation of proxies and the provision of proxy statements for general meetings of shareholders. ASML does furnish proxy statements and solicit proxies for the General Meeting. Dutch corporate law sets a mandatory (participation and voting) record date for Dutch listed companies at the 28th day prior to the date of the General Meeting. Shareholders registered at such a record date are entitled to attend and exercise their rights as shareholders at the General Meeting, regardless of a sale of shares after the record date. Distribution of Annual Report ASML does not follow Nasdaq’s requirement regarding distribution to shareholders of copies of an annual report containing audited Financial statements prior to our AGM. The distribution of our annual reports to shareholders is not required under Dutch corporate law or Dutch securities laws, or by Euronext Amsterdam. Furthermore, it is generally accepted business practice for Dutch companies not to distribute annual reports. In part, this is because the Dutch system of bearer shares has made it impractical to keep a current list of holders of the bearer shares in order to distribute the annual reports. Instead, we make our Annual Report available at our corporate head office in the Netherlands (and at the offices of our Dutch listing agent, as stated in the convening notice for the meeting) no later than 42 days prior to convocation of the AGM. In addition, we post a copy of our annual reports on our website prior to the AGM. Equity compensation arrangements ASML does not follow Nasdaq’s requirement to obtain shareholder approval of stock option or purchase plans or other equity compensation arrangements available to officers, directors or employees. It is not required under Dutch law or generally accepted practice for Dutch companies to obtain shareholder approval of equity compensation arrangements available to officers, directors or employees. The General Meeting adopts the Remuneration Policy for the Board of Management, approves equity compensation arrangements for the Board of Management and approves the remuneration for the Supervisory Board. The Remuneration Committee evaluates the achievements of individual members of the Board of Management with respect to the short- and long-term quantitative performance, and the full Supervisory Board evaluates the quantitative performance criteria. Equity compensation arrangements for employees are adopted by the Board of Management within limits approved by the General Meeting. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 112 Corporate governance Supervisory Board report Remuneration report Compliance with corporate governance requirements


 
The Supervisory Board supervises and advises the Board of Management in performing its management tasks and setting the direction for ASML, focusing on long-term and sustainable value creation. The members of the Supervisory Board are fully independent. Supervisory Board Chair Nils Andersen outlines the Supervisory Board’s key activities during the year and his expectations for the year ahead. QWhat were the business highlights of the year? ASML celebrated its 40th anniversary during 2024. It was a year when the company again made significant progress on the technological, business, financial and ESG fronts, despite challenges caused by the slower-than-expected recovery in some of our markets. These results were achieved against the backdrop of global geopolitical and economic uncertainty and during a time of significant internal reorganization. From a technological and operational perspective, the standout highlight of the year was that our first High NA EUV machine is now up and running at a customer site. This successful implementation is a real tribute to the innovation mindset that characterizes ASML, and our teams remain focused on continuing to make progress on our innovation roadmap. As we anticipated, the year has not been without its challenges. Although AI has emerged as a key driver for our industry, sectors such as PCs and smartphones recovered at a slower pace than anticipated. Geopolitical matters have continued to become more challenging, including export restrictions, the evolving relationship between the US and China and the wars in Ukraine and the Middle East. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 113 Corporate governance Supervisory Board report Remuneration report An interview with our Chair of the Supervisory Board Nils Andersen I am confident that with our new Board of Management and continued focus on industry leadership, we are well positioned to continue our long-term success.” Nils Andersen Chair of the Supervisory Board


 
QHow do you reflect on the leadership transition? The Supervisory Board was delighted to note that the company’s transition to a new leadership went very smoothly. Following the retirement of Peter Wennink and Martin van den Brink as Co-Presidents of the Board of Management, Christophe Fouquet was appointed as President and CEO and Jim Koonmen as Chief Customer Officer per the 2024 AGM. The Supervisory Board invested considerable time and effort preparing for this leadership change, and has continued to stay in close contact with the new Board of Management in the months since the AGM, providing support and advice where needed. On behalf of the Supervisory Board, I would like to express our thanks to Christophe, Peter and Martin for their co-operation and collaboration as ASML sets out on the next stage of its journey. I believe the new leadership team has been well-received by all our stakeholders, including our ASML colleagues, and I am confident that with our new Board of Management and continued focus on industry leadership we are well positioned to continue our long-term success. QHow does the Supervisory Board support the Board of Management? Throughout the year, the Supervisory Board worked hard to support the Board of Management in achieving its strategic aims. We are a group of nine seasoned professionals with extensive experience in technology, manufacturing and all aspects of business, including global geopolitics. During the year we held formal meetings with the Board of Management, complemented by regular informal touchpoints. We provide oversight, evaluate performance and draw on all our expertise and experience to issue advice when requested or when we perceive that it would be beneficial. In order to be able to optimally fulfill our role, we constantly look for opportunities to strengthen our knowledge about ASML’s business and technology, for example through in-depth educational sessions and site visits. We visited ASML’s facilities in Hsinchu and Linkou, Taiwan, as well as the ASML site in Berlin, and I also paid a visit to ASML businesses in San Jose. QHow do you engage with stakeholders? As a Supervisory Board we invest significant time in furthering our understanding of ASML and its wider ecosystem, interacting with the full group of stakeholders. For example, in December 2024 we visited TSMC (Taiwan Semiconductor Manufacturing Company Ltd.) in Taiwan in order to further build our understanding of our customers and how ASML can best meet their needs. Suppliers have a very important part to play in our company’s success, so we met with many key suppliers at ASML’s Suppliers’ Day, where we gained concrete knowledge of how the ASML ecosystem is enabling us to generate demonstrable progress in technology and stay a global leader in our field. In addition, we engaged with our people on many levels over the last 12 months – not only through formal interactions with the Works Council but also during formal Board meetings and site visits. For me, it is important that we spend time with the people in the organization. These interactions are both interesting and productive in the sense that we not only learn more about the company, but also raise our profile among our colleagues as well as in our industry in general. Furthermore, in response to a recommendation that came out of last year’s Supervisory Board evaluation, we organized lunches with employees. These lunches enabled the Supervisory Board and a group of employees to meet and discuss items of interest in an informal setting. In July we hosted an employee lunch in Veldhoven and a similar event was held with ASML employees in Taiwan. The Supervisory Board concluded that these employee lunches are both enjoyable and useful – and we have since committed to participating in further such events in the future. Engagement with investors is important for the Supervisory Board. During 2024 we held two governance roadshows which were mainly focused on remuneration, but during which other governance topics were also discussed. The Supervisory Board highly appreciates these interactions with and the feedback received from investors. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 114 Corporate governance Supervisory Board report Remuneration report An interview with our Chair of the Supervisory Board (continued) Nils Andersen Our values of challenge, collaborate and care express the essence of what makes ASML such a unique company.” Nils Andersen Chair of the Supervisory Board


 
QHow does the Supervisory Board help ASML maintain and strengthen its values? Our values of challenge, collaborate and care express the essence of what makes ASML such a unique company. They also shape the way the Supervisory Board operates – and they really came to the fore during the leadership transition, with the Supervisory Board collaborating with the new and outgoing leadership teams to the overall benefit of everybody who works at ASML and in the wider ecosystem. It is important that nobody at ASML becomes complacent. We must all constantly challenge the status quo and search for better, faster or more cost- effective ways of working. The Supervisory Board spends a lot of time with the Board of Management, examining plans in great detail and questioning priorities, and also with customers, suppliers and of course our own people – always asking questions, challenging preconceptions and bringing our big-picture, long-term perspective to the business and its relationships. QWhat will be the Supervisory Board’s key focus areas for 2025? First of all, in 2025, there will be a change in the composition of the Supervisory Board: Annet Aris will be stepping down effective per the 2025 AGM. I would like to express my gratitude to her – she has been a valuable member of the Supervisory Board since 2015 and served as its vice chair since 2021. Annet has contributed significantly as a member of the Selection & Nomination Committee, Technology Committee and Remuneration Committee, and she has been an invaluable source of insight and support for ASML. We wish her all the best in her future endeavors. On a personal note, I am very proud to serve as Chair of such a dynamic, talented company. The Supervisory Board is totally committed to playing a key role in enabling ASML to remain a locomotive of technology development in Europe. The geopolitical situation will continue to be challenging and the short-term market situation means that our customers are likely to face a degree of volatility. Through 2025 and beyond, the Supervisory Board will continue to support ASML’s Board of Management in pushing the boundaries of innovation, particularly in advanced EUV, and investing broadly in improving our competitiveness across all our business areas. At the same time, we will monitor progress against the company’s ESG commitments, focusing on energy efficiency for our customers and end users, as well as in our own operations and supply chain. The skills, determination and sheer hard work of our people were the foundation stones of another successful year at ASML. On behalf of the Supervisory Board, I thank you all unreservedly and we all look forward to working with the team to create even greater value for ASML and our stakeholders in the year ahead. Nils Andersen Chair of the Supervisory Board STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 115 Corporate governance Supervisory Board report Remuneration report An interview with our Chair of the Supervisory Board (continued) Nils Andersen


 
7 44% Supervisory Board meetings Female members (2023: 6) (2023: 44%) 95% 4.2 Attendance rate Years average tenure (2023: 98%) (2023: 3.2) Alongside the annual strategy review, the Supervisory Board addressed strategic topics throughout the year via deep dives, which enabled focused, in-depth review.” Nils Andersen Chair of the Supervisory Board As the Supervisory Board, we supervise and advise the Board of Management in performing its management tasks and setting the direction for ASML. We focus on long-term and sustainable value creation, with the goal of ensuring that the Board of Management pursues a strategy that secures our leading position as a supplier of holistic lithography solutions to the semiconductor industry. We maintain an appropriate system of checks and balances, provide oversight, evaluate performance and give advice where required or requested. Through good governance, we help to ensure that ASML acts in the best interests of the company and its stakeholders. In this Supervisory Board report, we report on our activities in 2024. 2024 was a year of transition, both from a leadership perspective and from a market point of view. In the year of ASML's 40th anniversary, former Presidents Peter Wennink and Martin van den Brink retired after many years of service and Christophe Fouquet was appointed President and CEO effective per the 2024 AGM. At the same time, Jim Koonmen was appointed to the Board of Management as Chief Customer Officer. In challenging market and geopolitical circumstances, ASML delivered the industry’s first High NA EUV tool, achieved a financial performance in line with expectations and delivered on its ESG commitments, while continuing to further build on the strategy to scale our technology into the next decade and extend our holistic lithography portfolio, thereby creating future growth opportunities. We devoted a considerable amount of time in 2024 to discussing strategic topics. We carried out our recurring annual review of ASML’s corporate strategy and the long-term financial plan. During the annual strategy review, we confirmed our support for the general strategic direction and discussed the key strategic challenges and focus for further strategy development. The Supervisory Board provided their perspectives on topics such as semiconductor and lithography market developments, cost and flexibility, future technology and innovation roadmap, and ASML’s global footprint. We fully support ASML’s strategy, which is centered on the six pillars: 1. Deepen customer trust; 2. Extend our technology and holistic product roadmap; 3. Strengthen ecosystem relationships; 4. Create an exceptional workplace; 5. Drive operational excellence; and 6. Deliver on ESG sustainability mission and responsibilities. As part of the annual strategy review, we held dedicated workshops focused on our technology and holistic product roadmap, semiconductor and lithography market, high transmission platform and ERP migration. These sessions enable an engaged and focused discussion between the Supervisory Board and Board of Management on key strategic matters, and we highly value this way of contributing to the strategic decision- making process. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 116 Corporate governance Supervisory Board report Remuneration report Supervisory Board focus in 2024 Supervisory Board focus in 2024 Throughout 2024, the Supervisory Board agenda was centered on the strategy and its execution, the CEO and Board of Management transition, financial and operational performance, business developments, risk management, and people and organization. Based on the strategic priorities for ASML as agreed in the annual strategy review, several topics were extensively discussed by means of deep dives, allowing a focused and in- depth review. Strategy and sustainable long-term value creation Focus area 2024 • Annual strategy review • Geopolitical strategy • ASML operating model • Semiconductor and lithography market • High transmission platform • Technology & holistic lithography roadmap • ERP migration • Global footprint • Deep dive: Cost and flexibility and cash flows • People strategy


 
Strategy and sustainable long-term value creation Other strategic topics discussed throughout the year included transformation programs in the following areas: the integrated operating model, the geopolitical strategy and the people strategy. With global trends expected to continue fueling semiconductor growth long-term driving an increasing demand for wafers and ASML continuing to focus on the execution of its strategic priorities, we have confidence in ASML’s long-term growth opportunities and the continued delivery of value to its stakeholders. Deep dive: Operating model The Supervisory Board paid attention to the operating model and its evolution, taking into consideration the strong growth of the company in the past decade and the anticipated future growth. Aspects discussed with the Board of Management included how ASML can further improve its ability to respond to market demand with increased flexibility and agility to maintain our customer trust and technology leadership. Risk Focus area 2024 • Geopolitics • IT Security As risk management is a key element of our responsibilities, risk is a topic that is top of mind for the Supervisory Board when discussing with the Board of Management the strategy and strategy execution, whereby external developments, risk appetite and risk mitigations are taken into consideration. During 2024, we paid particular attention to the challenges created by the (geo)political risks, given the global trade situation, and developments in the area of export controls and the potential impact on ASML's business. Security was another area of attention, given the increasing risk profile in relation to that, and the Audit Committee therefore performed a deep dive review on security in 2024. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 117 Corporate governance Supervisory Board report Remuneration report Supervisory Board focus in 2024 (continued) Market and business developments Focus area 2024 Deep dive: Market and geopolitics• Market outlook and demand drivers • Update on business: EUV, DUV, Applications • Transformation projects related to sourcing and supply chain, customers and future operating model The Supervisory Board discussed with the Board of Management the short-, medium- and long-term market developments in the semiconductor industry and the related growth opportunities for ASML. Aspects discussed were the key end-market drivers, the future of lithography shrink and the future affordability of lithography solutions, potential opportunities in adjacent technologies and ASML's competitive position. In terms of geopolitics, the Supervisory Board made recommendations as to how to best navigate the current challenges. We closely monitored the market and business developments and saw management address the challenges related to macroeconomics, semiconductors and geopolitics with the highest priority. As a technology leader in the semiconductor industry, technological progress is one of ASML’s top priorities. We closely followed the execution of the product and technology roadmap and are pleased to see ASML making good progress on further enhancements to our EUV, DUV and metrology and inspection systems. Another area of focus during 2024 was export controls. We closely followed and discussed with the Board of Management developments in this area and the implications for ASML. We are confident that ASML is well positioned to continue to deliver long-term growth and stakeholder value in a sustainable manner.


 
People and organization Focus area 2024 • People strategy • Results of employee engagement survey • Composition of Board of Management • Leadership transition and operating model • Composition of the Supervisory Board • Remuneration Policy for the Board of Management • Remuneration of the Supervisory Board Given the significant growth of ASML in recent years, the topics of people and organization continued to be key areas of focus for the Supervisory Board in 2024, as we believe that these are of critical importance for the future success of ASML. On several occasions, we were provided with updates on Human Resources and Organization (HR&O). Topics covered included the People Strategy, the progress made on the ASML leadership program, the results of the annual employee engagement survey and D&I. Specific attention was paid to ASML's leadership transition. While the Selection and Nomination Committee devoted a significant amount of time and attention on this topic, also at the level of the plenary Supervisory Board, the leadership transition was a key area of focus during 2024 and the Supervisory Board closely followed and provided support and advice aimed at a smooth transition. This was not only done during formal meetings, but also informally outside the scheduled meetings throughout the year. The Supervisory Board is pleased that the transition has been a smooth one, as can be read in more detail in the report of the Selection and Nomination Committee. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 118 Corporate governance Supervisory Board report Remuneration report Supervisory Board focus in 2024 (continued) Financial and operational performance Focus area 2024 Attention was paid to free cash flow, given the challenging economic climate, as well as because ASML decided to support customers and suppliers in navigating this situation. Another area of focus during 2024 was cost and flexibility. While our outlook for future growth remains strong, short-term volatility will occur and in 2023 and 2024 we saw a downturn in the semiconductor industry. The Supervisory Board focused on the challenges related to addressing the downcycle while at the same time preparing for the upcycle when it occurs, and stressed the importance of flexibility and cost efficiency in order to ultimately support our customers with cost- effective solutions. Deep dive: ESG sustainability strategy • 2023 Annual Results and Annual Report • 2023 external audit report • Final dividend 2023 • External auditor rotation • Legal matters report • 2024 statutory interim report • Cash return including dividend policy, interim dividend and share buyback program • ERP migration • Focus on cost and flexibility and cash flows As a Supervisory Board we consider ESG sustainability to be an increasingly important topic. While the Supervisory Board keeps the overall oversight of ESG sustainability, various ESG sustainability aspects are discussed at committee level – for example, reporting in the Audit Committee, diversity in the Selection and Nomination Committee, ESG sustainability as part of the Board of Management's incentive scheme in the Remuneration Committee, and product and technology aspects in the Technology Committee. In 2024, we discussed updates to ASML’s ESG sustainability strategy with the Board of Management. The Climate Transition Plan was also brought to the plenary Supervisory Board, after review by the ESG Committee. The Supervisory Board also reassessed how the ESG oversight activities had been allocated to the Supervisory Board and its committees and some minor changes were agreed-upon. We reviewed the annual and interim Financial statements, including non-financial information, the quarterly results and accompanying press releases, as well as the year-end audits of the US GAAP and EU-IFRS Financial statements. As part of the financial updates, the Supervisory Board, assisted by the Audit Committee, reviewed ASML’s financing and cash return policies. The Supervisory Board approved the Board of Management’s proposals for the final and interim dividends paid in 2024. Furthermore, we monitored the execution of the 2023–2025 share buyback program.


 
People and organization Furthermore, we find it important that business processes are fit for growth. We therefore oversaw the transformation of the operating model initiative, focused on further optimizing the way we operate by streamlining the decision- making structures and processes, in view of the growth and increasing complexity of the company. Another area of attention was the organization Technology functions within the company. We also paid attention to the ERP migration program, which is closely linked to the operating model transformation, and was identified as one of the key focus areas in strategy execution. Finally, the Supervisory Board was kept up-to-date by the Remuneration Committee on the review of the remuneration and Remuneration Policy for the Board of Management, as well as the review of the remuneration of the Supervisory Board. The Supervisory Board provided input and feedback to the Remuneration Committee during 2024 and, in early 2025, decided to submit proposals to the General Meeting in relation to these two topics, per the recommendation of the Remuneration Committee. Focus area 2024 • Outcome of Supervisory Board evaluation • AGM agenda • Amendment to the Rules of Procedure Board of Management and Supervisory Board • AGM update • ESG oversight by Supervisory Board and Committees • Investor Day • Customer deep dive: TSMC • Customer visit: TSMC We regularly discussed ASML’s relationship with its shareholders, and Supervisory Board members engaged with shareholders throughout the year on topics such as ASML’s strategy and performance, governance and ESG. The Remuneration Committee engaged with a variety of ASML shareholders and other stakeholders regarding remuneration. More information can be found in the Remuneration Report. A Supervisory Board delegation held two formal meetings with the Works Council in 2024, exchanging views on ASML’s strategy and priorities, and performance and challenges, in particular related to the growth and increased complexity of its business as well as the challenging external circumstances. In this context, employee well-being and engagement were also discussed. In early 2024, special attention was paid to the cooperation between the Supervisory Board and the Works Council, given that a new Works Council was installed in January 2024. Apart from the formal meetings, the Supervisory Board also exchanged with the Works Council about ASML's leadership change, about the composition of the Supervisory Board, given the Works Council's (enhanced) right of recommendation, and about the remuneration of the Board of Management and the Supervisory Board. In November 2024, the Supervisory Board paid a visit to one of our key customers, TSMC, in Hsinchu, Taiwan. During the visit, the Supervisory Board met with TSMC management and was provided with a business update as well as an overview of the current and future technology roadmap. A visit was also paid to TSMC's chip production facilities in Hsinchu, where the Supervisory Board was impressed by seeing a broad range of ASML tools in action in the chip manufacturing process. For the Supervisory Board, such visits are highly valuable because they increase our understanding of ASML's customers and the challenges they face. Additional topics Recurring topics at each Supervisory Board meeting are a CEO report focusing on market and customer developments, share price development and investor perceptions, performance on the business priorities including ESG, a financial update and the Supervisory Board Committee reports. Other topics considered during Supervisory Board meetings in 2024 included: • Compliance with rules and regulations: We monitored compliance with rules and regulations including the Dutch Corporate Governance Code and were kept informed on key legal matters, including developments in the area of export control regulations. • Supervisory Board composition, profile and functioning: We extensively discussed our own composition, profile and functioning, the composition and functioning of Board committees, and the composition and functioning of the Board of Management. More information can be found in the report of the Selection and Nomination Committee. • Board of Management composition and performance: We also monitored the performance of the Board of Management and decided on its remuneration targets and target achievements. More information can be found in the reports of the Selection and Nomination Committee and the Remuneration Committee. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 119 Corporate governance Supervisory Board report Remuneration report Supervisory Board focus in 2024 (continued) Governance and stakeholders


 
Meetings and attendance The Supervisory Board meets at least four times per year in accordance with its annual schedule and whenever the Chair, one or more of its members, or the Board of Management requests a meeting. In 2024, the Supervisory Board held seven meetings. Of these meetings, two were held virtually and five were held in person. Three in-person meetings were held at ASML's headquarters, and two were held offsite in the Netherlands and Taiwan. In addition to these meetings, there were several informal meetings, including educational sessions, and interactions among Supervisory Board and/or Board of Management members. Supervisory Board meetings and Supervisory Board committee meetings are held over several days, ensuring there is time for review and discussion. At each meeting, the Supervisory Board members discuss among themselves the goals and outcome of the meeting, as well as topics such as the functioning and composition of the Supervisory Board and the Board of Management. Also discussed during each meeting are the reports from the different committees of the Supervisory Board. The Supervisory Board meetings and the meetings of the five Supervisory Board committees were well attended, as is shown in the table on the right. In addition to the Supervisory Board members, the members of the Board of Management are invited to the Supervisory Board meetings. All Board of Management members were present at the Supervisory Board meetings in 2024. Members of senior management are regularly invited to provide updates on topics within their area of expertise. This gives the Supervisory Board the opportunity to become acquainted with a variety of ASML managers, which we consider very useful in connection with its talent management and succession- planning activities. Meetings of the Supervisory Board Most Supervisory Board and Committee meetings held in 2024 were in person, but the Supervisory Board also met virtually on some occasions. In addition to plenary discussions, break-out sessions in smaller groups were organized for discussing key strategic topics to optimize interaction. We also used preview videos for meeting preparation in addition to written meeting documents, to allow as much time as possible for discussion. Supervisory Board meeting attendance overview1 95% Attendance rate Name Supervisory Board Audit Committee Remuneration Committee Selection and Nomination Committee Technology Committee ESG Committee Nils Andersen (Chair) 7/7 8/8 n/a 5/5 n/a n/a Annet Aris 7/7 n/a 5/5 5/5 5/5 n/a Birgit Conix 7/7 8/8 n/a n/a n/a 4/4 Mark Durcan 7/7 n/a n/a 5/5 5/5 n/a Warren East 6/7 7/8 n/a n/a 5/5 n/a Alexander Everke 7/7 n/a 5/5 n/a n/a 4/4 Terri Kelly 6/7 n/a 5/5 5/5 n/a n/a Jack de Kreij 7/7 8/8 5/5 n/a n/a n/a An Steegen 6/7 n/a n/a n/a 5/5 4/4 1. This overview contains the attendance data as of the formal date of appointment until the formal end date of the appointment. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 120 Corporate governance Supervisory Board report Remuneration report Meetings and attendance


 
Composition The Supervisory Board determines the number of members required to perform its functions – the minimum being three members. The Supervisory Board currently consists of nine members. We attach great importance to our composition, independence and diversity, and strive to meet all the associated guidelines and requirements. To ensure an appropriate and balanced composition, we spend considerable time on an ongoing basis discussing the profile, composition and rotation schedule. Independence In order to properly perform our tasks, we consider it very important that our members are able to act critically and independently of one another, the Board of Management and other stakeholders. Our independence and that of our individual members is assessed on an annual basis. All current members of the Supervisory Board are fully independent, as defined by the Dutch Corporate Governance Code as well as under Nasdaq rules, and have completed the annual questionnaire addressing the relevant independence requirements. Diversity The current composition of ASML’s Supervisory Board is diverse in terms of gender, nationality, knowledge, experience and background and has a suitable level of experience in the financial, economic, technological, social and legal aspects of international business. For more information about diversity, read more in Corporate governance – Other Board-related matters (Re)appointments in 2024 The appointment terms of Annet Aris, Warren East and Mark Durcan expired at the 2024 Annual General Meeting (AGM). The General Meeting resolved to reappoint Annet Aris for a term of one year. Warren East and Mark Durcan were appointed by the General Meeting for four-year terms effective from the date of the 2024 AGM. Changes in composition in 2025 At the 2024 AGM, the Supervisory Board gave notice that the appointment terms of Annet Aris and Birgit Conix would expire per the 2025 AGM. Annet Aris has informed the Supervisory Board that she will not be available for reappointment per the 2025 AGM. Birgit Conix informed the Supervisory Board that she will be available for reappointment and the Supervisory Board intends to nominate Birgit Conix for reappointment per the 2025 AGM. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 121 Corporate governance Supervisory Board report Remuneration report Meetings and attendance (continued) Supervisory Board skills Board member General skills ASML skills Nils Andersen (Chair) • • • • • • • Annet Aris • • • • • • • • Birgit Conix • • • • • • Marc Durcan • • • • • • • • • Warren East • • • • • • • • • • Alexander Everke • • • • • • • • • • Terri Kelly • • • • • • Jack de Kreij • • • • • • • • An Steegen • • • • • • • • (Former) Executive Board member of (listed) international company Finance / governance Remuneration Human resources / employee relations IT / digital / cyber ESG Semiconductor ecosystem Deep understanding of semiconductor technology High-tech manufacturing / integrated supply chain management Business in Asia


 
For the position currently held by Annet Aris, the Works Council has a strengthened recommendation right and informed the Supervisory Board that it used its strengthened right to recommend Karien van Gennip for appointment as member of the Supervisory Board, effective per the 2025 AGM. The Supervisory Board intends to follow the Works Council’s recommendation and nominate Karien for appointment as a member of the Supervisory Board per the 2025 AGM. Karien van Gennip is intended to be elected as a member of the ESG Committee and the Remuneration Committee upon appointment. The agenda and explanatory notes for the 2025 AGM contain further information about the nominations for (re)appointment of candidates for the Supervisory Board. Induction and training We have a comprehensive induction program in place for newly appointed members, designed to ensure they gain a good understanding of our business and strategy, as well as the key risks we face. The induction program includes meetings with other Supervisory Board and Board of Management members, a technology tutorial and detailed presentations by our business, operational and corporate sectors. A site visit and factory tour are also part of the induction program. In addition to the fixed elements to the induction program, additional induction sessions may be planned depending on the wishes of the members concerned. As part of its continuing education, the Supervisory Board is provided with regular deep dives on a variety of topics, both in the plenary meetings and in the meetings of the Supervisory Board’s committees, as well as during dedicated educational sessions. During 2024, educational sessions were held on semiconductor market trends, semiconductor peers and customers. Deep dives that were held as part of the formal meetings of the Supervisory Board and its committees are reported on in the Our activities 2024 section in this Supervisory Board report. Furthermore, external speakers or advisers attended various committee meetings to provide outside-in views on topics such as technology developments and technology outlook and executive remuneration. The Supervisory Board also performed site visits, which are described in other parts of this Supervisory Report in more detail. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 122 Corporate governance Supervisory Board report Remuneration report Meetings and attendance (continued)


 
Evaluation We greatly value the structural and ongoing evaluation process as a means of ensuring continuous improvement in our way of working. Each year, assisted by the Selection and Nomination Committee, we evaluate the composition, competence and functioning of the Supervisory Board and its committees, the relationship between the Supervisory Board and the Board of Management, its committees, its individual members, the chairs of both the Supervisory Board and its committees, as well as the composition and functioning of the Board of Management and its individual members, and the education and training needs of the Supervisory Board and Board of Management members. In principle, the Supervisory Board evaluation is performed once every three years with the support of an external adviser; in the other two years, the evaluation is performed by means of a self-assessment using a written questionnaire, followed by one-to-one meetings between the Chair and individual members. The 2024 evaluation of the Supervisory Board and its committees was facilitated by an external adviser. The evaluation process consisted of interviews with all Supervisory Board and Board of Management members, as well as the Company Secretary, the Head of HR&O and the external auditor. In addition to interviews, a survey was completed by all interviewees. The evaluation focused on the interaction of the Supervisory Board with the Board of Management, following the change in leadership after the General Meeting of April 2024, and in light of the changing market and geopolitical realities. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 123 Corporate governance Supervisory Board report Remuneration report Meetings and attendance (continued) Evaluation process 2024 1. Self-assessment 2. Self-assessment process 3. Interviews with external adviser 4. Feedback 5. Recommendations actions Supervisory Board and Selection and Nomination Committee agree the scope, approach and broad nature of the review. Evaluation topics: • Interaction Supervisory Board with Board of Management • Composition of Board and committees • Oversight of strategy • Stakeholder oversight • Risk management • Succession planning • Meeting quality The Supervisory Board and Board of Management members, the Company secretary, the Head of HR&O and the External Auditor are interviewed by the external advisor and complete an online survey. The Supervisory Board and Board of Management consider the outcome of the evaluation in separate sessions as well as jointly and assess the effectiveness of its ways of working. New initiatives to improve the Supervisory Board's effectiveness are identified and actioned, and will form part of next year's evaluation process.


 
The results of the Supervisory Board evaluation were discussed in separate sessions with the Supervisory Board and the Board of Management at the end of 2024. In early 2025, a joint session between the Supervisory Board and the Board of Management session was held to reflect on the core findings of the evaluation. Finally, the SB Chair conducted one-to-one meetings with the individual Supervisory Board members to reflect on the functioning of the Supervisory Board and ways to further enhance it going forward. The conclusion of the 2024 evaluation was that the Supervisory Board and its committees continue to function well. On the key theme of the evaluation, the interaction between the Supervisory Board and the Board of Management, the evaluation brought to light a positive relationship, leading to constructive discussions, between the Supervisory Board and the Board of Management following a change in leadership in both Boards. This creates an opportunity for a higher quality of interaction between the two Boards. Both Boards explored jointly the respective role expectations, how this emerging new reality has started to contribute to the quality of dialogue and decision making with respect to core strategic issues that have been discussed over the last year and how lessons from good examples could be preserved and new effective practices could be developed. The Board of Management evaluated its own functioning in 2024, focusing on its role, responsibilities and performance collectively, and on the functioning of the individual members – also in light of the changes in the Board of Management that became effective per the date of the 2024 AGM. This evaluation took place in offsite meetings throughout the year. Important aspects addressed include the Board of Management’s strategic focus, stakeholder involvement, people and organization, Board dynamics and Board of Management organization. The overall conclusion of the evaluation was that the leadership transition was successful and that ASML continues to have a well- functioning Board of Management. The functioning of the Board of Management and its individual members was also discussed with the Supervisory Board and its Selection and Nomination Committee. Aspects addressed by the BoM: Strategic focus Stakeholder involvement People and organization Board dynamics Board Management organization STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 124 Corporate governance Supervisory Board report Remuneration report Meetings and attendance (continued)


 
The Supervisory Board has five standing committees, with members appointed by the Supervisory Board from among its members. The full Supervisory Board remains responsible for all decisions, including those prepared by its committees. The five committees of the Supervisory Board prepare and support the decision-making of the full Supervisory Board. In the plenary Supervisory Board meetings, the chairs of the committees report on the items discussed in the committee meetings. In addition, the meeting documents and minutes of the committee meetings are available to all Supervisory Board members, enabling the full Supervisory Board to make the appropriate decisions. Further information about the Audit Committee, the ESG Committee, the Selection and Nomination Committee, and the Technology Committee can be found in this Supervisory Board report. Further information about the Remuneration Committee can be found in the Remuneration report. Supervisory Board Audit Committee ESG Committee Remuneration Committee Selection and Nomination Committee Technology Committee Assisting in overseeing the integrity and quality of our financial reporting and the effectiveness of risk management and controls Overseeing the ESG sustainability strategy and performance aimed at sustainable, long- term value creation Overseeing the development and implementation of the remuneration policies, in cooperation with the Audit and Technology Committee Assisting with the preparation of the selection criteria and appointment procedures for the Supervisory Board and Board of Management Providing advice with respect to our technology plans required to execute the business strategy 4 3 4 4 4 Members Members Members Members Members Read more on page 126 > Read more on page 129 > Read more on page 142 > Read more on page 132 > Read more on page 135 > STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 125 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees


 
The Audit Committee is provided with all relevant information to be able to adequately and efficiently supervise the preparation and disclosure of financial information. This includes information on the status and development of the semiconductor market, the application of EU-IFRS and US GAAP, the choice of accounting policies, and the work of the internal and external auditor. Main responsibilities • Overseeing the integrity and quality of ASML’s Financial statements and sustainability disclosures and submitting proposals to ensure such integrity • Overseeing the accounting, financial and sustainability reporting processes and the audits of the Financial statements • Overseeing the effectiveness of our internal risk management and control systems, including compliance with the relevant legislation and regulations, and the effect of codes of conduct • Overseeing the integrity and effectiveness of our system of disclosure controls and procedures and our system of internal controls over financial and sustainability reporting • Overseeing the external auditor’s qualifications, independence, performance and determining its compensation • Overseeing the functioning of Internal Audit Recurring agenda topics • Financial update • Review of the quarterly financial results and press release • Accounting and internal control observations of external auditor • Risk update, incl. (IT) security • Internal audit update • Disclosure Committee report • Legal matters report • Ethics and compliance Attendance In addition to the members of the Audit Committee, the external auditor and the internal auditor have a standing invitation for Audit Committee meetings and attended all Audit Committee meetings in 2024. The CEO, CFO, EVP Finance, Corporate Chief Accountant, Chief Legal Officer, Head of Risk and Business Assurance, and Head of Internal Audit are invited to the meetings. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 126 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued) Audit Committee The Audit Committee assists the Supervisory Board in overseeing the integrity and quality of our financial reporting and the effectiveness of the internal risk management and internal control systems. Members Jack de Kreij (Chair) A key area of focus for the Audit Committee in 2024 was how to navigate macroeconomic and semiconductor industry cycles while investing in future growth.” Nils Andersen Birgit Conix Warren East The members of the Audit Committee are all independent members of the Supervisory Board. The Supervisory Board has determined that both Jack de Kreij and Birgit Conix qualify as Audit Committee financial experts pursuant to section 407 of the Sarbanes-Oxley Act and Dutch statutory rules, taking into consideration their extensive financial backgrounds and experience. Jack de Kreij Chair of the Audit Committee


 
Audit Committee meetings in 2024 The Audit Committee meets at least four times a year and always before the publication of the quarterly, half- year and annual financial results. In 2024, the Audit Committee held eight meetings. Financials In 2024, the Audit Committee focused, among other matters, on financial reporting – most particularly the review of ASML’s annual and interim reports, including the annual and interim Financial statements and the Sustainability statements. The Audit Committee also closely monitored the progress and discussed the outcomes of the year-end US GAAP and EU-IFRS audits. The quarterly results and the accompanying press releases were reviewed before publication. On a quarterly basis, the Audit Committee was provided with accounting updates by the Corporate Chief Accountant, highlighting the main accounting matters relevant for the quarter. A recurring item of focus of the Audit Committee in this regard is revenue recognition, as this is a complex accounting matter also identified as a critical audit matter by the external auditor. Other important elements of the Audit Committee’s quarterly procedures included the discussion of the observations of the external auditor in relation to the accounting matters, as well as the report by the Disclosure Committee on the accuracy and completeness of the quarterly disclosures. Throughout the year, specific accounting topics were addressed in depth and semi- annual in-depth balance sheet reviews were also performed. The operational and financial short- and long-term performance of ASML was discussed extensively, looking at various performance scenarios and their impact on ASML’s results and cash generation. Particular attention was paid to the developments in the semiconductor industry and the developments related to our customers, and the impact of those developments on ASML's cash generation. Geopolitical challenges and in particular the potential impact of increasing export control restrictions on ASML's business was another topic of focus. The Audit Committee reviewed and provided the Supervisory Board with advice regarding the long-term financial plan, the financing of ASML and ASML’s cash- return policy. Topics specifically discussed included the execution of the share buyback program and the proposed final dividend payment in respect of the 2023 financial year and the interim dividends for the financial year 2024, which were approved by the Supervisory Board following recommendation by the Audit Committee. Extra attention was also paid to free cash flow, not only during the planned meetings, but also in two dedicated deep dive sessions planned specifically for this purpose. Risk management and internal control Throughout 2024, the Audit Committee closely monitored risk management and the risk management process, including the timely follow-up of high-priority actions based on quarterly progress updates. Key focus areas of the Audit Committee included those risks showing an upward trend, such as geopolitics, uncertain global economy, pressure on the innovation ecosystem (including security), and strengthening ESG regulations and related stakeholder expectations. The Audit Committee oversaw the annual internal control process, with a focus on scoping, materiality levels, updates to the internal control framework, the tests of design and effectiveness, and management’s assessment of ASML’s internal control over financial reporting and disclosures. The observations made by Internal Audit and the external auditor on the design and effectiveness of internal controls were also discussed. Ethics, business integrity and compliance We recognize that acting with the highest standards of integrity is vitally important to value creation for our stakeholders and the long-term success of ASML. The Audit Committee received quarterly reports on the Ethics program, including the trends and risks in the area of ethics and the Ethics and Business Integrity training strategy. The Audit Committee reviewed the revised Code of Conduct. During 2024, compliance was discussed on multiple occasions, including on export controls. An annual update on fraud and fraud risk management was provided. Internal audit In early 2024, the Audit Committee reviewed the internal audit charter and the annual internal audit plan, including the scope of the audit. Furthermore, the strategy of the Internal Audit department was discussed and the Audit Committee reviewed the audit mapping prepared by Internal Audit and made some suggestions in relation to those topics. During the year, the Audit Committee was kept updated on the progress of the internal audit activities on a quarterly basis, reviewed the results of audits performed and the status of the follow-up on action plans. The Audit Committee also discussed the internal management letter and monitored the follow-up by the Board of Management on the recommendations. At the end of 2024, a new Head of Internal Audit was appointed by the Board of Management, effective February 1, 2025. Before making the appointment, a positive recommendation from the Audit Committee and approval of the Supervisory Board was obtained. Spotlight: Sustainability reporting Q&A with An Lommers Head of Risk & Business Assurance and Corporate Chief Accountant Q: How did you take the Audit Committee along on the implementation of the ESRS? An Lommers: We kept the Audit Committee up-to- date throughout 2024 regarding our journey to implement the ESRS both during the regular meetings and during specific deep dive sessions planned for this purpose. Part of the sessions were held jointly with the ESG Committee, given the relevance of this topic for both committees and since we wanted to ensure efficiency in our ESG oversight activities. Q: Which subjects did you address in relation to sustainability reporting? An Lommers: A key area of focus was compliance with the new requirements. We reported on the outcome of the gap analysis and on the progress made in addressing and closing these gaps. Much attention was also paid to the double materiality assessment (DMA) and special deep dives were performed on the approach to and process of the DMA as well as the outcome of the DMA performed in 2024. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 127 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued)


 
External audit At the 2022 AGM, KPMG was appointed as the external auditor for the reporting years 2023 and 2024. On December 4, 2024, KPMG was appointed by the Supervisory Board as the external auditor to perform a limited assurance engagement and issue an assurance report on the Sustainability statements for the reporting year 2024. In 2024, the Audit Committee reviewed the 2024 external audit plan, including scoping, materiality level and fees. It monitored the progress of the external audit activities, including review of the observations made throughout the year. The Audit Committee also oversaw the activities of KPMG in the area of internal controls, which were discussed during a periodic internal control update. The Audit Committee confirms that the communication over the 2024 financial year contained no significant items that need to be mentioned in this report. The Audit Committee evaluated the performance of the external auditor at the end of 2024, including a review of their independence. After a carefully conducted selection process in 2021 and 2022, the Supervisory Board submitted the proposal to the 2023 AGM to appoint PricewaterhouseCoopers Accountants NV (PwC) as external auditor for the reporting year 2025. This proposal was adopted by the General Meeting. During 2024, the external auditor transition from KPMG to PwC was an important topic of attention for the Audit Committee. In connection with the transition, the new external auditor was invited to attend the Audit Committee meetings in 2024. At the end of the year, an update was provided to the Audit Committee on the progress of the transition. Sustainability reporting The Audit Committee spent a considerable amount of time discussing sustainability reporting, in view of compliance with the ESRS. The Audit Committee focused on the processes, KPIs and limited assurance related to sustainability, among other aspects. Some sessions were held jointly with the ESG Committee. Other topics Other topics discussed by the Audit Committee in 2024 included tax developments, including developments in the area of tax laws, such as their potential impact on ASML, the responsibilities of the Audit Committee in the area of ESG and the quarterly overviews of legal matters. The Audit Committee furthermore reviewed the messaging around ASML's long-term financial outlook as was communicated at ASML's 2024 Investor Day. The Audit Committee also performed an annual review and update of its Rules of Procedure. Following most Audit Committee meetings, the internal and external auditor each meet with the Audit Committee without management present to discuss their views on the matters warranting the attention of the Audit Committee. This may include their relationship with the Audit Committee, the relationship with the Board of Management and any other matters deemed necessary to be discussed. The Audit Committee also held regular one-to-one meetings with the CFO. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 128 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued) The overview below provides a number of topics discussed during Audit Committee meetings in 2024, in addition to the recurring agenda topics. Q1 Q3 • 2023 Annual Report and Financial statements US GAAP and EU-IFRS • Accounting deep dive: Balance sheet review • 2023 external audit report • Annual reporting process • Cash return, including interim dividend Q1 2024 and final dividend 2023 • Fraud-risk assessment • Results of the external auditor evaluation 2023 • Results of the Audit Committee self-evaluation • Annual plan of Internal Audit • External evaluation of Internal Audit • Statutory Interim Report 2024 • Cash return, including interim dividend Q3 2024 • Compliance deep dive: Finance • Audit Committee responsibilities in the area of ESG • Code of Conduct review • Balance sheet review • Deep dive: Security Q2 Q4 • 2023 SOX plan incl. materiality and scoping • External audit plan 2024 • Audit on expense reporting by the Board of Management and Supervisory Board 2023 • Update Internal Audit Charter • Deep dive: ESRS • Financing • Cash return including Q4 2024 interim dividend • 2024 Annual Report process • Long-term financial plan • Annual Plan 2025 • Investor Day messaging • Appointment new Head of Internal Audit • Internal Audit Plan 2025 • Compliance, incl. Fraud Risk Assessment • External audit update on 'hard close' procedures • External auditor transition • Review of Rules of Procedure Audit Committee


 
ESG Committee meetings The ESG Committee meets at least twice a year and more frequently when deemed necessary. Main responsibilities • The ESG sustainability strategy, including the various sub-themes of the ESG sustainability strategy • The integration of ESG in the company and the ESG sustainability strategy • The periodic assessment and evaluation of ASML’s ESG sustainability performance and progress against its objectives • The relationships and engagement with ASML’s stakeholders • The (impact of) external ESG matters and developments which are relevant for ASML and the general evolution of the ESG landscape Recurring agenda topics • ESG strategy and performance • ESG governance • ESG compliance Attendance In addition to the ESG Committee members, the President and Chief Executive Officer, the EVP and CFO, and the Head of ESG Sustainability have a standing invitation to attend the ESG Committee meetings. Internal experts and external advisers may also be invited to attend meetings when deemed necessary. Advisers do not have voting rights. ESG Committee meetings in 2024 In 2024, the ESG Committee held four meetings, one of which was a joint meeting with the Audit Committee. Topics discussed as standing items in each meeting were an update on the latest developments in the area of ESG, the latest feedback from the ESG benchmarks relevant for ASML as well as the performance on the ESG KPIs and on the ESG-related targets in the Long- Term Incentive of the Board of Management and ASML's senior management. The ESG Committee discussed the double materiality assessment, focusing on the process followed as well as the outcome in terms of impacts, risks and opportunities. This was done jointly with the Audit Committee. The ESG Committee also reviewed and provided the Supervisory Board with a positive recommendation regarding the changes to be made to the ESG strategy, which were approved by the Supervisory Board. The ESG Committee also received an update on relevant ESG laws and regulations and paid attention to ESG compliance, in particular the preparations for compliance with the ESRS. During each ESG Committee meeting, a deep dive was performed on topics related to the themes of the ESG strategy. Topics that were reviewed in-depth were the Community Partnership Program, scope 3 supply chain emissions and the Climate Transition Plan, which was supported by the ESG Committee and the Supervisory Board. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 129 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued) ESG Committee The ESG Committee advises the Supervisory Board in carrying out its governance and oversight responsibilities with regard to sustainability, environmental, social and governance matters. Members Birgit Conix (Chair) During 2024, the ESG Committee performed various deep dive reviews of topics that are part of the ESG sustainability strategy of ASML.” Alexander Everke An Steegen The ESG Committee may be supported by external experts as well as experts from within ASML who act as advisers on the subjects reviewed and discussed. Birgit Conix Chair of the ESG Committee


 
Spotlight: Scope 3 emissions in our supply chain Q&A with Wayne Allan Chief Strategic Sourcing & Procurement Officer Q: Why was it important to discuss scope 3 emissions in our supply chain with the ESG Committee? Wayne Allan: ASML’s ambition is to become greenhouse gas neutral for scope 3 upstream supply chain emissions by 2030. Our aim was for the ESG Committee to understand and support the plan and actions defined by ASML’s Strategic Sourcing & Procurement team, also because a performance target related to this topic was introduced as an LTI metric in 2024. Q: Can you provide more color to what was discussed with the ESG Committee? Wayne Allan: We explained how we plan to obtain emission reduction commitments from our tier 1 suppliers and to identify key decarbonization levers beyond these tier 1 suppliers. We also focused on opportunities for cross-company and cross-industry collaboration. In this context, the initiatives related to supplier data sharing and collection were also reported on. Supervisory activities in the area of ESG sustainability The overview on this page shows how the oversight over ESG matters by the Supervisory Board has been divided over the Supervisory Board and the sub-committees of the Supervisory Board. During 2024, one year after the establishment of the ESG Committee, the allocation of ESG oversight-related activities was reassessed and some minor fine-tuning was applied. The ESG Committee's in-depth discussions on ESG and the subsequent reporting of the main points of these discussions to the full Supervisory Board are seen as very valuable, as they further strengthen the Supervisory Board's oversight over ESG matters. Supervisory activities in the area of ESG sustainability Supervisory Board Oversight over overall company strategy aimed at sustainable long-term value creation and company performance, including ESG aspects Audit Committee ESG Committee Remuneration Committee Selection and Nomination Committee Technology Committee Non-financial reporting, ESG internal controls and assurance Oversight over ESG strategy (execution) & performance ESG metrics as part of executive remuneration Corporate governance leadership development & succession including diversity Product & technology roadmap-related ESG matters/ programs (e.g. EUV energy efficiency) STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 130 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 131 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued) The overview below provides details on the topics discussed during ESG Committee meetings in 2024. Q1 Q3 • Performance on ESG LTI targets and ESG LTI metrics and targets 2024–2026, and recommendation to the Remuneration Committee • Progress on ESG sustainability KPIs • Feedback on ESG benchmarks • ESG compliance: update on ESRS • Deep dive: Supply chain emissions (scope 3 upstream) • Progress on ESG sustainability KPIs • Performance on LTI targets • Double Materiality Assessment 2024 • Feedback from ESG benchmarks • Update on laws and regulations • Climate roadmap • Deep dive: Community Partnership Program Q2 Q4 • No meetings • ESG strategy update • Progress on ESG sustainability KPIs • Performance on ESG LTI targets • Proposal new ESG LTI metrics and targets for 2025–2027 • Feedback from relevant benchmarks and update on selection of benchmarks • ESG compliance: update on ESRS • Deep dive: Climate Transition Plan


 
Main responsibilities • Preparing the selection criteria and appointment procedures for members of the Supervisory Board and Board of Management, and the supervision of the Board of Management’s policy in relation to the selection and appointment criteria for senior management • Periodically evaluating the scope and composition of the Board of Management and the Supervisory Board, and proposing the profile of the Supervisory Board • Periodically evaluating the functioning of the Board of Management and the Supervisory Board, and their individual members • Preparing the Supervisory Board’s decisions for appointing and reappointing members of the Board of Management and proposing (re)appointments of members of the Supervisory Board • Monitoring and discussing developments in corporate governance Recurring agenda topics • Role, composition and functioning of the Board of Management • Role, composition and functioning of the Supervisory Board • Corporate governance Attendance The Selection and Nomination Committee held five meetings in 2024. In addition to the Selection and Nomination Committee members, the President and CEO and the EVP HR&O are regularly invited to attend (parts of) its meetings. An external adviser is also invited to attend the Selection and Nomination Committee meetings when deemed necessary. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 132 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued) Selection and Nomination Committee The Selection and Nomination Committee assists the Supervisory Board in relation to its responsibilities over the composition and functioning of the Supervisory Board and the Board of Management and the monitoring of corporate governance developments. Members Nils Andersen (Chair) In 2024, the Selection and Nomination Committee's key area of focus was ASML's leadership transition.” Annet Aris Mark Durcan Terri Kelly Each member is an independent member of our Supervisory Board, in accordance with the Nasdaq Listing Rules. Nils Andersen Chair of the Selection and Nomination Committee


 
Composition, role and responsibilities of the Board of Management In 2024, the Selection and Nomination Committee's key area of focus was ASML's leadership transition. Per the 2024 AGM, both Presidents – Peter Wennink and Martin van den Brink – stepped down as Board of Management members. Christophe Fouquet was appointed as President and CEO. Jim Koonmen was appointed as EVP and Chief Customer Officer. The Selection and Nomination Committee devoted significant time to supporting the Board of Management in transitioning to the new leadership structure and evaluating the transition. We are pleased to see that this has been a smooth process. The Selection and Nomination Committee and the Supervisory Board regularly discuss the composition, role and responsibilities of the Board of Management, while also discussing succession planning with respect to the Board of Management. The Supervisory Board, together with the Board of Management, has gone through a comprehensive succession-planning process. With Christophe, we have identified a very experienced leader with deep understanding of ASML’s technology and the semiconductor industry ecosystem – acquired through different roles at ASML and other companies – and the right leadership qualities and culture fit. With the appointment of Jim Koonmen as Chief Customer Officer, a new position in ASML’s Board of Management per the 2024 AGM, ASML underscored its ambition to continuously increase our responsiveness to customer needs, and to consistently deliver high- performance products and services. During 2024 we also reviewed the talent bench and discussed career development of top talent to prepare for future Board of Management roles. The relevant diversity aspects for ASML have also been taken into consideration in this review. The Selection and Nomination Committee also assessed the functioning of the Board of Management and its individual members. Special attention was made to the functioning of the Board of Management in light of the leadership transition. For this purpose, discussions took place with each individual Board of Management member, the outcome of which was discussed with the Selection and Nomination Committee. After the retirement of Martin van den Brink as Co- President, Martin continued to support the future growth of ASML by taking up a role as technology adviser. Composition, role and responsibilities of the Supervisory Board The Selection and Nomination Committee spent a significant amount of time discussing the Supervisory Board’s composition, profile and rotation schedule, particularly the appointment and reappointment of Supervisory Board members to fill vacancies both in the short and longer term. The Supervisory Board profile was reviewed in light of the long-term strategic challenges faced by ASML and what these mean for the oversight to be performed by the Supervisory Board. While the conclusion was that the requirements for the size of and the competencies to be represented in the Supervisory Board were generally still appropriate, some adjustments were considered desirable. Furthermore, the paragraph on diversity was shortened, since a separate Supervisory Board D&I Policy was adopted in light of the revised Dutch Corporate Governance Code. The profile of the Supervisory Board was formally amended in 2024, after informing the Works Council of ASML Netherlands BV and the General Meeting. The revised profile can be found in the Supervisory Board's Rules of Procedure on our website. The Selection and Nomination Committee also discussed changes to the composition of the Supervisory Board effective per the 2024 AGM. The Selection and Nomination Committee advised the Supervisory Board on the nominations for the reappointment of Annet Aris, Warren East and Mark Durcan, whose terms expired during the 2024 AGM. All Supervisory Board members whose terms ended per the 2024 AGM were reappointed by the General Meeting for consecutive terms, in line with the nomination made by the Supervisory Board. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 133 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued) Spotlight: Leadership transition Q&A with Annet Aris Vice Chair Supervisory Board and member of Selection and Nomination Committee Q: How do you look back on the leadership transition that took place in 2024? Annet Aris: The Selection and Nomination Committee spent significant time and effort preparing for the leadership change in close collaboration with the outgoing leadership and the new Board of Management. The transition itself was a smooth process that took place in the spirit of ASML's values challenge, collaborate and care. The new leadership team has been well received by our stakeholders, including our ASML employees. Q: How are you supporting the new Board of Management? Annet Aris: As a Supervisory Board, we continue to stay in close contact with the Board of Management to act as their sounding board and provide advice if and when needed. We do this not only during the formal meeting of the Supervisory Board, but also during informal interactions with the members of the Board of Management throughout the year. The Supervisory Board continues to be convinced that with the new leadership team, ASML is well positioned to continue our long-term success.


 
A significant amount of time was also spent by the Selection and Nomination Committee on the changes to the Supervisory Board composition per the 2025 AGM, in particular the succession of Annet Aris. Given that the Works Council of ASML Netherlands BV has a strengthened right of recommendation for this position, the Selection and Nomination Committee worked closely with the Works Council to find the right candidate to succeed Annet. Changes to Supervisory Board committees in 2024 The Selection and Nomination Committee also discussed the composition of the Supervisory Board committees. As per January 2024, Nils Andersen joined the Audit Committee as a formal member. Read more in Supervisory Board report – Meetings and attendance – Composition The Selection and Nomination Committee also spent a considerable amount of time preparing the 2024 evaluation of the Supervisory Board. In light of the applicable best practice provision of the Dutch Corporate Governance Code, the Selection and Nomination Committee made a recommendation to engage an external party for an in-depth evaluation of the Supervisory Board, and the subsequent selection process was driven by the Committee. The evaluation was performed in Q4 and the results were subsequently discussed with the Supervisory Board. More information about the evaluation process and outcome can be found in the dedicated section on evaluation in this Supervisory Board Report. Read more in Supervisory Board report – Meetings and attendance – Evaluation Corporate governance As part of its responsibility to monitor corporate governance developments, the Selection and Nomination Committee provided positive recommendations to the Supervisory Board regarding updates to the Rules of Procedure for the Board of Management and the Supervisory Board. These changes were primarily recommended in light of the changes in the Board of Management that became effective in 2024. The Committee also discussed developments in the area of corporate governance in general, including the developments related to the Dutch Corporate Governance Code, the corporate governance aspects of (emerging) legal requirements related to ESG, and matters of interest to investors and shareholder organizations. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 134 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued) The overview below provides details on the topics discussed during Selection and Nomination Committee meetings in 2024. H1 H2 • Board of Management composition, succession and leadership transition • Board of Management performance review • Profile and composition of Supervisory Board and composition of its committees • Outcome 2023 Supervisory Board evaluation and its committees and follow-up • ASML leadership succession potential, incl. diversity aspects • Corporate governance developments • Update to Rules of Procedure Supervisory Board and Board of Management • Update of the Supervisory Board profile • Composition of the Board of Directors of the ASML Preference Shares Foundation • Composition of the Board of Management • Composition of Supervisory Board, including succession • Process Supervisory Board evaluation 2024 • Process Board of Management evaluation 2024 • Corporate governance developments


 
Technology Committee meetings in 2024 In general, the Technology Committee meets at least twice a year and more frequently when deemed necessary. In 2024, the Technology Committee held five meetings. Main responsibilities • Advising on technology trends, the study of potential alternative strategies, the technology strategy, product roadmaps, required technical resources and operational performance in R&D • Making recommendations to the Supervisory Board on technology-related projects with respect to ASML’s competitive position • Discussing the technology targets set to measure short- and long-term performance as well as the achievements related to these, and advising the Remuneration Committee on this topic Recurring agenda topics • Status of individual technology targets • Setting mid- and long-term technology related targets • Technical strategy review of the business Attendance In addition to the Technology Committee members, the Committee’s external and internal advisers regularly attended committee meetings. Advisers do not have voting rights. Review of technology programs As in previous years, the Technology Committee’s primary focus in 2024 was on the review of the execution and implementation of technology programs and roadmaps in EUV 0.55 NA (High NA), EUV 0.33 NA, DUV and Applications. In this respect, the key challenges and opportunities, from a business perspective as well as from a technology standpoint, were reviewed and discussed in depth. During each meeting the Technology Committee also discussed the progress made on the technology targets included in the Technology Leadership Index, a performance measure for the short- and long-term variable remuneration of the Board of Management. At the beginning of the year, in a meeting especially planned for this purpose, the Technology Committee discussed the final achievements on the technology targets. In the same meeting, new technology targets were set for the new performance period. The Technology Committee subsequently provided advice to the Remuneration Committee and the Supervisory Board. The meeting in Q1 was dedicated to the achievements within Applications. The Technology Committee was presented with a recap of the achievements in 2023, the strategic priorities, the execution challenges, the competitive landscape and the opportunities in that respect and the growth projection toward 2030 over the different areas within the Applications landscape. In addition, updates were provided on computational lithography, optical metrology and e-beam metrology. In Q2, the main focus of the meeting was on the Development and Engineering department of ASML, including its Research department and System Engineering department. The Technology Committee was informed on how these departments play a pivotal role in the innovation process and how they work together in the technological developments within ASML. Furthermore, the different departments provided an in-depth view on their portfolio and internal organization structure. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 135 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued) Technology Committee The Technology Committee advises the Supervisory Board with respect to the technology plans required to execute our business strategy. Members Mark Durcan (Chair) In Q4 2024, the Technology Committee visited ASML's facility in Berlin, Germany.” Annet Aris Warren East An Steegen The Technology Committee is supported by external experts as well as experts from within ASML who act as advisers on the subjects reviewed and discussed. External experts may include representatives of customers, suppliers and partners to increase the Committee’s understanding of the technology and research required to develop our leading-edge systems. Mark Durcan Chair of the Technology Committee


 
Spotlight: Visit to ASML Berlin GmbH Q&A with Markus Matthes Chair ASML Berlin GmbH Management Team Q: What was the key objective of the ASML Berlin GmbH leadership team for the Technology Committee visit? Markus Matthes: Our aim was to provide the Technology Committee with information about the organization and key activities of ASML Berlin GmbH and their contribution to ASML as a whole. Q: What topics did you discuss with the Technology Committee? Markus Matthes: We gave an overview of the people, products and processes and updated the Technology Committee about campus development. On the product side, we focused on the key components that are developed and produced in Berlin, including wafer tables and clamps, reticle chucks and mirror blocks. Q: How do you look back on the Technology Committee visit to ASML Berlin GmbH? Markus Matthes: It was very valuable to interact with the Technology Committee during their visit to ASML Berlin GmbH and to exchange perspectives on the important work that we are doing and on how ASML Berlin GmbH contributes to ASML's overall technology and manufacturing network. The Q3 meeting was fully dedicated to the EUV 0.55 NA (High NA), EUV 0.33 NA business. The Technology Committee was informed on the product roadmap, the productivity improvements and the developments on the cost of technology. In addition, there was a deep dive on the drive for commonality. The Technology Committee discussed the positioning and rationale thereof. Furthermore, time was spent on the targets, status and plans in this respect. In Q4, the Technology Committee visited ASML’s facility in Berlin, Germany. During this two-day meeting, the Technology Committee primarily focused on the achievements and challenges in ASML’s DUV business. Special attention was paid to the overall strategy, market developments and positioning and the technology roadmap. As a second topic, special attention was paid to the device roadmap and the holistic lithography solutions. For that purpose, the Technology Committee invited imec again to provide an update of its view on the long-term device roadmap for both Logic and Memory. The second day of the visit to Berlin was focused on providing insight in the projected growth of the Berlin facility and how the facility in Berlin contributes to ASML’s overall technology and manufacturing network. Furthermore, the Technology Committee was provided with a tour through the cleanroom at the Berlin facility. The Technology Committee’s in-depth technology discussions and the subsequent reporting of the main points of these discussions to the full Supervisory Board increases the Supervisory Board’s understanding of our technology requirements. It also enables the Supervisory Board to adequately supervise the strategic choices we face, including our investment in R&D. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 136 Corporate governance Supervisory Board report Remuneration report Supervisory Board committees (continued) The overview below provides details on the topics discussed during Technology Committee meetings in 2024. Q1 Q3 • Review of Applications • Technology Leadership Index performance review 2023 and 2021–2023 and target-setting for 2024 and 2024–2026 • Applications overview • E-beam metrology • Computational lithography • Optical metrology including soft x-ray • Data management • Innovation process • System engineering • Development and engineering • Succession planning • Technology Index Update • 0.33 NA – business, product and program • 0.55 NA – business, product and program • Common EUV platform and potential products including positioning and rationale, optics roadmap and technology common platform • Profile and potential Technology Committee external advisers Q2 Q4 • Innovation process including role of research, System engineering and D&E • Research • System engineering • Development and engineering • Succession planning Technology organization • Review of DUV business • Device roadmap and holistic lithography solutions • Profile and potential Technology Committee external advisors • Visit to ASML's facility in Berlin, Germany


 
The Financial statements of ASML for the financial year 2024, as prepared by the Board of Management, have been audited by KPMG Accountants N.V. All members of the Board of Management and the Supervisory Board have signed these Financial statements. We recommend to shareholders that they adopt the 2024 Financial statements. We also recommend that our shareholders adopt the Board of Management’s proposal to make a final dividend payment of €1.84 per ordinary share. Together with the interim dividends paid in respect of the 2024 financial year, which add up to €4.56 per ordinary share, this leads to a total dividend of €6.40 per ordinary share for the year 2024. Finally, we would like to extend a word of thanks to the Board of Management and all ASML employees for their continued commitment and hard work during this challenging year. The Supervisory Board: Nils Andersen, Chair Annet Aris, Vice Chair Birgit Conix Mark Durcan Warren East Alexander Everke Terri Kelly Jack de Kreij An Steegen Veldhoven, March 5, 2025 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 137 Corporate governance Supervisory Board report Remuneration report Financial statements and profit allocation


 
2024 was another year of steady evolution. Our Remuneration Committee worked hard to ensure that ASML’s remuneration policies remained competitive and aligned with company strategy, while also taking into consideration the views and priorities of stakeholders. Dear Stakeholder, On behalf of the Remuneration Committee, I am pleased to present the 2024 Remuneration Report, which outlines how the remuneration policies for the Board of Management and the Supervisory Board were applied during the year and explain the factors we considered while doing so. A long-term perspective Just as ASML’s focus is on the long term, so is the focus of our Remuneration Committee. We work closely with the Board of Management, the Works Council and other key stakeholders to ensure that our remuneration policies are competitive, aligned with ASML’s strategy and take into consideration the views and priorities of stakeholders, while respecting the societal context within which we operate. ASML’s values of challenge, collaborate and care sit front and center in everything that the Remuneration Committee does. We challenge ourselves about all aspects of remuneration and collaborate with colleagues within ASML as well as external experts and advisers. Our aim is to arrive at fair and balanced decisions that drive long- term performance. 2024 performance In 2024, ASML performed very well on the metrics that are part of the Board of Management’s incentive plans. For the short-term incentive (STI), performance was between target and stretch for all performance measures – EBIT Margin %, Customer Orientation and Technology Leadership Index – resulting in an overall pay-out of 136.1% of target. For the long- term incentive (LTI) 2022–2024 series, ASML exceeded target on most the performance metrics – Relative Total Shareholder Return (rTSR), Cash Conversion Rate, Technology Leadership Index and ESG. The overall LTI result is a vesting of 132.3% of target. Key workstreams Our core objective is to ensure that ASML continues to be able to attract and retain the talent it needs to thrive. During 2024, we focused on a number of areas in order to ensure that the Remuneration Policy for the Board of Management features the right amount of stretch, while being achievable and aligned with desired behaviors and the main drivers of ASML’s strategy. In the second half of 2024, in line with the framework for the 2023 Supervisory Board Remuneration Policy, the Remuneration Committee reviewed the Supervisory Board’s fee structure and levels. Following this review, the Supervisory Board proposes to increase base membership and committee fees and remove the fixed expense allowance, and a proposal in this regard has been submitted for a binding vote at the 2025 AGM. Updating our Policy Much of the year’s efforts were concentrated on updating the Remuneration Policy for the Board of Management, which has been submitted to the 2025 AGM. In light of the change of leadership, this was a significant workstream for the committee through 2024 and involved extensive consultations with both external and internal stakeholders, including valuable input from the Board of Management and the Works Council as well as from investors and shareholder interest organizations. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 138 Corporate governance Supervisory Board report Remuneration report Message from the Chair of the Remuneration Committee Terri Kelly We aim for ASML’s remuneration policies to be externally competitive and internally fair.” Terri Kelly Chair of the Remuneration Committee


 
Our work has been characterized by evolution rather than revolution. As ASML evolves over time, our policies are constantly monitored and assessed against the Company’s strategic objectives and in the context of the broader commercial landscape. The Policy review and proposed adjustments are intended to make incremental progress toward a more competitive and fit-for-future Remuneration Policy. Its aim is to better enable ASML to attract, retain and motivate the global leadership structure that will be critical in delivering on our strategy and growth ambitions. While the Remuneration Policy for the Board of Management is in absolute terms only relevant to a small number of people, we understand that it has resonance across ASML. It must be recognized as fair within the company and our local external environment, competitive within our global peer group and aligned with the wider workforce. I believe we have achieved a balanced outcome that respects the views of our stakeholders, underlining our desire to achieve a degree of societal fairness. Evolving our metrics Last year, I reported that we developed a way of measuring our customer orientation, and this process was successfully implemented into the STI during 2024. Meeting, and where possible exceeding, customer expectations is extremely important to the company's growth targets, and the new metric ensures that the voice of the customer is even better heard and acted upon by the Board of Management. ESG was another area where we spent considerable time. I am proud that ASML has continued to hold its ground on measures that really matter to the world, at a time when some companies perhaps reduced some of their focus on ESG matters. We made good progress and now benefit from a well-designed balance of social and environmental measures. For example, we are challenging our suppliers to manage their own footprint, while also exploring how we can reduce our own energy consumption as well as that of our customers. We constantly review the financial measures that are at the heart of our incentive plans and have reintroduced elements of Return on Average Invested Capital (ROAIC) as a metric to measure how we drive the creation of long-term sustainable value. We had previously moved away from ROAIC, because of the extremely long horizons associated with R&D investments, and because the timing of return on those investments did not align with the measurement period of the ROAIC metric. The Remuneration Committee devised a novel way to bring ROAIC back into the picture aimed at mitigating the effects of the timing differences related to the return on investment, and I was pleased to see that this was well received by our stakeholders during 2024. Engaging with our stakeholders We aim for ASML’s remuneration policies to be externally competitive and internally fair – and we engage with a wide range of stakeholders who provide us with their views, helping us achieve this ambition. There are several instances where stakeholder input has led to adjustments in our policies. For example, stakeholder feedback was instrumental in our decision to no longer use a particular index, but instead work with customized, more relevant measures linked to our ESG strategy to assess ESG performance. Externally, we consult our investors and also take advice from our external adviser around best practice and trends in the field of remuneration across a broad selection of industries and business environments. As ASML has few comparable companies against which we can compare our approach to remuneration, we focus on the pay landscape of similar-sized, globally active, semiconductor (equipment), high-tech or other companies with high R&D spend. Internally, we maintain a close relationship with the members of the Board of Management, meeting regularly on an informal as well as a formal basis. Interaction with the Works Council also provides us with valuable insights from an important stakeholder group – our employees. Early in 2024, a new Council was elected and we invested time in bringing the new members up to speed with the mechanics of remuneration and the methodology behind it – and I believe that this process was very beneficial for all parties involved. Throughout the year, we engaged with key stakeholders about the envisaged policy changes we are proposing and listened to their feedback. A number of their suggestions have been incorporated into the policy that has been submitted to the 2025 AGM for approval. Changes to the Committee Annet Aris will be stepping down from the Supervisory Board effective per the 2025 AGM and I would like to thank her for her support and guidance over recent years. Annet has been a member of the Remuneration Committee between 2015 and 2018 and since 2021, and she has played an instrumental role, given her extensive knowledge and experience on the topic of remuneration as well as her connections with the relevant stakeholders in this field. As a Remuneration Committee we are very pleased with the nomination for appointment of Karien van Gennip as member of our Supervisory Board. Upon her appointment, which is a voting item on the agenda for the 2025 AGM, Karien will become a member of the Remuneration Committee. Outlook Our focus for 2025 will firstly be on gathering further input from stakeholders and where appropriate fine- tuning the Board of Management Remuneration Policy ahead of its presentation at the 2025 AGM. Beyond that, we will continue to challenge ourselves on the metrics and ask the question: do we have the right measures that really align around the most important things that ASML is trying to achieve? Stakeholder support will again be a key objective, and our continual engagement processes will aim to make sure that all our stakeholders – and most especially Christophe and his colleagues on the Board of Management – understand our challenges, our aims and our rationale. Finally, I would like to thank all our stakeholders, and in particular the members of the Remuneration Committee, the Supervisory Board, the Board of Management, our investors and the Works Council, for their support over the last year. This is a team effort – together, we can ensure that our remuneration policies continue to drive the long-term success of ASML. Terri Kelly Chair of the Remuneration Committee STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 139 Corporate governance Supervisory Board report Remuneration report Message from the Chair of the Remuneration Committee (continued) Terri Kelly


 
Our remuneration principles for performance support long-term success and sustainable value Competitiveness Our remuneration structure and levels intend to be competitive in the relevant labor market, while at the same time taking into account societal trends and perceptions. Alignment Our Remuneration Policy is aligned with the short-term and long- term incentive policies for ASML senior management and other ASML employees and takes into account internal relativities. Long-term orientation Our policy and incentives focus on sustainable and long-term value creation. Compliance We adopt the highest standards of good corporate governance. Simplicity and transparency Our policy and its execution are as simple as possible and easily understandable to all stakeholders. How we performed in 2024 Financial (based on US GAAP) Non-financial €28.3bn €14.5bn €9.0bn 8.0 Total sales Gross profit Income from operations Technology Leadership Index score (2023: €27.6bn) (2023: €14.1bn) (2023: €9.0bn) (2023: 7.8) €11.2bn €19.25 €12.7bn 78.9% Net cash provided by operating activities Earnings per share Cash and cash equivalents and short- term investments Employee engagement score (three-year rolling average) (2023: €5.4bn) (2023: €19.91) (2023: €7.0bn) (2023: 78.7%) STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 140 Corporate governance Supervisory Board report Remuneration report Remuneration at a glance Remuneration is an essential tool to motivate the right talent to continue to achieve our technology roadmap and business priorities Linking remuneration to purpose and strategy Purpose Strategy Incentive measures Pay for performance Unlocking the potential of people and society by pushing technology to new limits Deepen customer trust Strategic value drivers Remuneration outcomes Extend our technology and holistic product leadership Financial measures Strengthen ecosystem relationships Customer orientation Create an exceptional workplace Technology leadership Drive operational excellence Leadership in ESG sustainability Deliver on our ESG sustainability mission and responsibilities


 
We aim to align the total remuneration for our Board of Management to our business strategy through a combination of fixed pay and short- and long- term incentives, underpinned by stretching performance targets. €20.1m Total remuneration1 136.1% Achieved of STI target 132.3% Achieved of LTI target 40:1 CEO vs. average per FTE Board of Management1 Christophe D. Fouquet2 Total remuneration 2024 (€’000s) €5,432 Frédéric J.M. Schneider-Maunoury Total remuneration 2024 (€’000s) €4,209 Roger J.M. Dassen Total remuneration 2024 (€’000s) €4,190 Wayne R. Allan Total remuneration 2024 (€’000s) €3,897 James (Jim) P. Koonmen3 Total remuneration 2024 (€’000s) €2,347 Remuneration summary (€’000s) 1,153 1,153 1,127 1,532 1,780 2,747 Target Actual 966 966 754 1,026 1,456 2,217 Target Actual 947 947 754 1,026 1,456 2,217 Target Actual 1,050 1,050 754 1,026 1,123 1,821 Target Actual 730 730 516 702 561 915 Target Actual Base salary and benefit STI LTI Stakeholder engagement in 2024 During 2024, we consulted with our large shareholders and other stakeholders, as well as with our Board of Management. Engagements took place prior to the 2024 AGM and in Q3 and Q4 2024. Shareholders Number of organizations met 9 Number of meetings 18 Percentage of issued share capital owned4 23% Shareholders representatives and proxy advisers Number of organizations met 3 Number of meetings 9 Works Council Number of organizations met 1 Number of meetings >5 4. Average based on the issued share capital and share positions at the time of the AGM record date, March 27, 2024. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 141 Corporate governance Supervisory Board report Remuneration report Remuneration at a glance (continued) 1. This is the total 2024 remuneration for the members of the Board of Management (BoM) in office as of December 31, 2024. It excludes the 2024 remuneration for former BoM members Peter T.F.M. Wennink and Martin A. van den Brink, who retired as Presidents of ASML on April 24, 2024, upon the completion of their appointment terms. 2. Christophe D. Fouquet was appointed as President and CEO of ASML on April 24, 2024. As he was already a member of the Board of Management (BoM), his total remuneration for 2024 is disclosed by taking into account his tenure as both a regular BoM member and as President and CEO of ASML. 3. James (Jim) P. Koonmen was appointed as a member of the Board of Management on April 24, 2024. His total remuneration 2024 is disclosed as of this date.


 
Main responsibilities • Overseeing the development and implementation of the Remuneration Policy for the Board of Management and preparing the Supervisory Board Remuneration Policy • Reviewing and proposing to the Supervisory Board corporate goals and objectives relevant to the variable part of the Board of Management’s remuneration • Carrying out scenario analyses of the possible financial outcomes on the variable remuneration of meeting these goals, as well as exceeding these goals, before proposing these corporate goals and objectives to the Supervisory Board for approval • Evaluating the performance of the members of the Board of Management in view of those goals and objectives and – based on this evaluation – recommending to the Supervisory Board appropriate compensation levels for the members of the Board of Management • Staying apprised of external pay practices and the effectiveness of our Remuneration Policy and incentive measures in attracting and retaining top talent Recurring agenda topics • Remuneration of the Board of Management • Remuneration of the Supervisory Board • Update on performance on targets for short- and long- term incentive Attendance In addition to the Remuneration Committee members, the Remuneration Committee generally invites the CEO, the CFO, the Executive Vice President HR&O, and the Vice President Global Compensation and Benefits to attend its meetings. The Remuneration Committee’s external adviser is also invited to attend the Remuneration Committee meetings when deemed necessary. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 142 Corporate governance Supervisory Board report Remuneration report Remuneration Committee Remuneration Committee The Remuneration Committee advises the Supervisory Board and prepares the Supervisory Board’s resolutions with respect to the remuneration of the Board of Management and the Supervisory Board. Members Terri Kelly (Chair) During 2024, the Committee continued looking at what the optimal incentive measures are to drive sustainable long-term value creation.” Annet Aris Alexander Everke Jack de Kreij Each member is an independent, non-executive member of our Supervisory Board in accordance with the Nasdaq Listing Rules. Ms. Kelly is neither a former member of our Board of Management, nor a member of the management board of another company. Currently, no member of the Remuneration Committee is a member of the management board of another Dutch listed company. Terri Kelly Chair of the Remuneration Committee


 
Remuneration of the Board of Management Following the announcement of the change in the composition of the Board of Management, in particular the change from a dual-presidency to a single- presidency structure, the Remuneration Committee assessed the impact of such change on the remuneration structure for our President and CEO under the Remuneration Policy for the Board of Management (version 2022). The conclusion was that no concessions were to be made to the 2022 Policy and that a detailed review of the Policy for 2025 and beyond would be initiated. Following a fundamental review performed in the second half of 2021 and the first quarter of 2022, a new Remuneration Policy for the Board of Management was adopted at the 2022 AGM with 93.18% support. The 2022 Board of Management Remuneration Policy contains market-competitive maximum levels for the STI (120% for the President and 100% for the other Board of Management members) and below-market- competitive maximum levels for the LTI (200%) for on- target performance. The Supervisory Board decided to implement a phased approach toward these maximum levels. At the end of 2023 a light review of Board of Management remuneration levels was performed in order to determine whether an increase of the on-target levels for STI and/or LTI toward the policy maximum levels was warranted. The Supervisory Board concluded that this was the case and, given the new single President structure, decided to increase the on-target levels for the STI from 105% to 120% for the new President and CEO, and from 95% to 100% for the non- Presidents, and to keep the level unaltered (105%) for both retiring Presidents. For the LTI the on-target levels were increased from 170% to 200% for the President and CEO, and from 170% to 180% for the other Board of Management members. These changes became effective per January 1, 2024. The Remuneration Committee made recommendations to the Supervisory Board concerning the total remuneration package of the Board of Management and the variable remuneration consisting of an STI in cash and an LTI in shares. The Remuneration Committee proposed 2024 targets for the Board of Management’s variable remuneration to the Supervisory Board. During the year, the Remuneration Committee closely monitored the Board of Management’s performance, providing recommendations to the Supervisory Board regarding the achievement of the 2024 targets and related compensation levels for the Board of Management members. In proposing and evaluating the Board of Management’s performance in relation to the corporate goals and objectives for the variable remuneration of the Board of Management members, the Remuneration Committee closely cooperates with the Audit Committee, the ESG Committee and the Technology Committee. 2024 has been marked by efforts to update the Remuneration Policy for the Board of Management. Extensive consultations were held with both internal and external stakeholders, whereby the ambition of the Remuneration Committee was to come to a balanced outcome that is externally competitive and internally fair. The proposed 2025 Remuneration Policy for the Board of Management has been submitted for a binding vote at the 2025 AGM. Upon AGM approval and following the Remuneration Committee's recommendation, the Supervisory Board approved to increase base salaries with 4% and increase the on-target level for the STI 2025 of the President and CEO to 150% and 110% for the other Board of Management members. For the LTI 2025–2027, the on-target level for the President and CEO is increased to 275% and 225% for the other Board of Management members. If the proposed 2025 Remuneration Policy for the Board of Management is not adopted by the 2025 AGM, on- target STI 2025 levels will be in line with 2024 and LTI 2025–2027 on-target levels will amount to 200% for all Board of Management members. The Remuneration Committee has taken note of the views of the individual members of the Board of Management with regard to the amount and structure of their remuneration. The shareholding positions of the Board of Management members were reviewed by the Remuneration Committee in order to assess compliance with the share ownership guideline as included in the Remuneration Policy for the Board of Management. The Remuneration Committee engaged the external auditor to perform certain agreed-upon procedures regarding the reported performance by the Board of Management on the STI Plan 2024 and LTI Plan 2022–2024. The Remuneration Committee also prepared the Remuneration Report, which details the remuneration of members of the Supervisory Board and the Board of Management. Transparency around remuneration continues to be a topic of focus for the Remuneration Committee and in 2024 we made further efforts to improve the transparency and readability of the Remuneration Report. For example, we added an extra scenario to the table 'Performance-driven scenarios'. Remuneration of the Supervisory Board In the second half of 2024, within the Supervisory Board Remuneration Policy 2023 framework, the Remuneration Committee reviewed the Supervisory Board fee structure and levels in accordance with the bi-annual benchmark of the Supervisory Board remuneration. Following this review, the Supervisory Board proposes to increase base membership and committee fees and remove the fixed-expense allowance. A proposal in this regard has been submitted for a binding vote at the 2025 AGM. Societal benchmark In the context of the changes to the Board of Management and Supervisory Board remuneration policies in 2022 and 2023 respectively, the Works Council raised the topic of societal fairness of executive remuneration in relation to non-executive remuneration. To follow up on this topic, a societal benchmark analysis was conducted in 2023 by a delegation of the Remuneration Committee working in close collaboration with the Works Council, supported by the Remuneration Committee's external adviser. The outcome of the societal benchmark (consisting of companies of social relevance in the Netherlands and that have comparable and consistent remuneration disclosure) was that, overall, ASML's relative pay progression is well aligned to the societal benchmark group. The CEO's pay progression was below the 75th percentile of the group, while the progression of the lowest scale of ASML's Collective Labor Agreement (CLA) outpaced that of the benchmarking group. Additionally, the 2023 increases in Supervisory Board remuneration were in line with the benchmarking group. More details can be found in the 2023 Remuneration Report. The outcomes of the 2023 societal benchmark have been taken into account for both the proposed Board of Management Remuneration Policy 2025 and the proposed Supervisory Board fees 2025. The Remuneration Committee intends to perform this societal benchmark periodically going forward to serve as a reference for overall remuneration. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 143 Corporate governance Supervisory Board report Remuneration report Remuneration Committee (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 144 Corporate governance Supervisory Board report Remuneration report Remuneration Committee (continued) The below overview provides details on the topics discussed during Remuneration Committee meetings in 2024. Q1 Q3 • Total Board of Management remuneration 2024, including base salary 2024, and STI and LTI at- target levels • Short-Term Incentive Plan: Performance 2023, pay- out 2023 and targets 2024 • Long-Term Incentive Plan: Performance evaluation and share vesting performance period 2021–2023, and conditional grant and targets performance period 2024–2026 • Compliance with share ownership requirements • Remuneration Report 2023 • Self-evaluation of Remuneration Committee • Kick-off Board of Management Remuneration Policy review • Progress STI 2024 and running LTI plans • Proposed changes to the Board of Management Remuneration Policy • Latest AGM voting trends • Board of Management peer group and benchmarking review • Double taxation compensation Wayne Allan • Supervisory Board Remuneration Policy benchmark Q2 Q4 • Board of Management contracts • Update on AGM • Board of Management Remuneration Policy review • Progress STI and LTI targets • Board of Management remuneration 2025, including base salary, at-target levels for STI and LTI, selection of STI and LTI metrics, and target levels • Supervisory Board remuneration benchmark and resulting proposal for change • Engagement of external auditor for agreed-upon procedures on remuneration • Draft Remuneration Report 2024 • Share planning for the period AGM 2025–2026 • Compliance of Board of Management members with share ownership requirements


 
In this section of the Remuneration report, we provide an overview of the Remuneration Policy for the Board of Management, which was adopted by the General Meeting on April 29, 2022, and has applied as of January 1, 2022. We are also referencing the changes if the new remuneration policy is adopted in the AGM. It also contains information about the execution of the policy as well as details of the Board of Management members’ actual remuneration for the financial year 2024. The current policy and the proposed new policy can be found in the Governance section of our website. Remuneration Policy Remuneration as a strategic instrument The 2022 Remuneration Policy for the Board of Management supports the strategy, long-term interests and sustainability of ASML in a highly dynamic environment, while aiming to fulfill all stakeholders’ requirements and keeping an acceptable risk profile. More than ever, our challenges are to drive technology, to serve our customers and to satisfy our stakeholders – drivers embedded in our identity, mission and values and the backbone of the 2022 Remuneration Policy for the Board of Management. The Supervisory Board ensures that the 2022 Remuneration Policy for the Board of Management and its implementation are linked to our objectives. A direct way this is achieved is by determining performance measures and setting targets with respect to variable compensation that are linked to our short- and long-term ambitions. More indirectly, we want to ensure that our 2022 Remuneration Policy for the Board of Management enables us to attract, motivate and retain qualified industry professionals for the Board of Management in order to define and achieve our strategic goals. This is reflected by our drive to determine a remuneration structure and remuneration levels that intend to be closer to competitive levels in the relevant labor market, while being aware of societal trends and perception. Therefore, the 2022 Remuneration Policy for the Board of Management acknowledges the internal and external context as well as our business needs and long-term strategy. The Remuneration Policy for the Board of Management is designed to encourage behavior that is focused on long-term value creation and the long-term interests and sustainability of ASML, while adopting the highest standards of good corporate governance. It is aimed at motivating the Board of Management members to achieve outstanding results, using a combination of non- financial and financial performance measures as well as an appropriate ratio between base salary and variable compensation. Technology leadership, customer value creation and employee engagement are the key drivers of sustainable returns to our shareholders. Remuneration principles The remuneration philosophy we apply for all our employees includes the principle that we want to be competitive in our relevant labor markets and pay what is fair in such markets, while maintaining internal consistency in reflecting differences in size and complexity of individual responsibilities. The Supervisory Board applies the same principle for the Board of Management of ASML and in doing so takes the pay and employment conditions for our employees into account when formulating the Remuneration Policy for the Board of Management. The level of stakeholder support, including the support of society, for the policy is important to us and was also taken into account when formulating its various elements. When preparing the policy, the Supervisory Board considered the external environment in which we operate, the relevant statutory provisions and provisions of the Dutch Corporate Governance Code, and competitive market practice – as well as the guidance issued by organizations representing institutional shareholders. The Supervisory Board’s Remuneration Committee engaged extensively with various stakeholders to obtain their perspectives. These stakeholders included our shareholders, shareholder interest organizations, proxy advisers and the Works Council of ASML Netherlands BV. In line with the Dutch Corporate Governance Code, the members of the Board of Management were asked to share their views on their remuneration. Furthermore, advice has been obtained from an external remuneration expert. The 2022 Remuneration Policy for the Board of Management is built on the following principles: • Competitiveness: The remuneration structure and levels intend to be competitive in the relevant labor market, while at the same time taking into account societal trends and perceptions. • Alignment: The policy is aligned with the STI and/or LTI Policy for ASML senior management and other ASML employees and takes into account internal relativities. • Long-term orientation: The policy and incentives focus on sustainable long-term value creation. • Compliance: ASML adopts the highest standards of good corporate governance. • Simplicity and transparency: The policy and its execution are as simple as possible and easily understandable to all stakeholders. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 145 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration


 
Reference group and market positioning Similar to the remuneration philosophy for all ASML employees, we aim to offer the members of the Board of Management a remuneration package that is competitive compared with a relevant labor market. To define this market, we created a reference group consisting of companies of comparable size and complexity, industry or business profile, data transparency and geographical area. The reference group may include Dutch and international companies where members of the Board of Management might be recruited to and from. For as long as we are positioned around the median of the group of companies with respect to size (measured by enterprise value, revenue and number of employees) and thus complexity, the median market level may serve as a reference in determining the level of remuneration for the Board of Management. As ASML is a Dutch-headquartered company, the Supervisory Board also takes into account the external environment in which the company operates in the Netherlands, and furthermore considers competitive market practices as well as guidance issued by organizations representing institutional shareholders in the Netherlands, and has decided that the 2022 Remuneration Policy should not follow the (high) international market level for LTIs and to cap the maximum target LTI award at 200% of base salary. This means that the reference to a median market level described above will be used for the cash compensation only (that is, the base salary and the STI, as the LTI will be capped). ASML had a dual presidency until the 2024 AGM and considered the two Presidents of equal weight and importance to the company. The Supervisory Board therefore decided to apply, during the dual presidency, the practice that the relevant benchmark reference level for the two Presidents was the average of the CEO level and that of the other members of the Board of Management in the labor market data, instead of benchmarking against CEO data only. As for this year, given the switch to a single Presidency, the remuneration is benchmarked against CEO data only. For the other members of the Board of Management, the Supervisory Board has applied the average of all non- CEO members of the Board of Management in the benchmark as relevant reference, instead of differentiating between members of the Board of Management. Following the retirement of Peter Wennink and Martin van den Brink as Co-Presidents and the appointment of Christophe Fouquet as our sole President and CEO effective per the 2024 AGM, references in the Remuneration Policy for the Board of Management to the dual presidency and Presidents should be considered a reference to our sole President and CEO. While no substantial changes to our Remuneration Policy for the Board of Management were made for 2024, we included a cover note to the 2022 Remuneration Policy explaining that where reference is made to the term 'Presidents' in the plural form, this should read as 'President' in the singular form. Further references to the dual presidency no longer serve a purpose. In principle, a benchmark of the Board of Management remuneration is conducted every two years. In the year without a market assessment, the Supervisory Board considers the appropriateness of any change of base salary, taking into account the market environment as well as the salary adjustments for other employees. To ensure an appropriate composition of the relevant labor market, the Supervisory Board reviews the composition of the reference group at the time a benchmark is conducted. The composition of the reference group may be adjusted as a result of takeover transactions, mergers or other corporate activities. Substantial changes applied to the composition of the reference group will be proposed to shareholders. Current reference group composition European companies with focus on long-term technology/industrial engineering/R&D Semiconductor manufacturing companies Semiconductor equipment companies ABB Broadcom Applied Materials Airbus Intel Lam Research Dassault Systèmes Qualcomm Infineon Technologies Linde Medtronic Novartis NXP Semiconductors Philips Roche SAP Schneider Electric Shell Siemens Siemens Healthineers STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 146 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
Total direct compensation The remuneration levels are determined using the Total Cash Compensation (TCC). TCC consists of base salary and STI. TCC together with LTI constitutes total direct compensation. Base salary The 2022 Remuneration Policy for the Board of Management prescribes a benchmark that will only be conducted for the TCC level – from which the base salary of Board of Management members is derived. The actual base salary and annual increases will be reported in the Remuneration Report. The base salary for the Board of Management for the reporting year 2024 is disclosed in the table Total remuneration Board of Management. Variable compensation The variable compensation consists of the STI and the LTI. The performance metrics are set by the Supervisory Board and consist of financial and non-financial metrics in such a way that an optimal balance is achieved between the various company objectives, both in the short and the long term. By doing so, we ensure the variable compensation contributes to our strategy, long-term interests and sustainability. The Supervisory Board may adjust the performance metrics and their relative weighting of the variable income based on the rules and principles as outlined in the 2022 Remuneration Policy for the Board of Management of ASML Holding NV, if required by changed strategic priorities in any given year. The Supervisory Board assesses the extent to which performance metrics are met at the end of a performance period. The 2022 Remuneration Policy for the Board of Management contains maximum levels for the STI and the LTI for on-target performance. The Supervisory Board has decided to apply a gradual transition into the new policy levels. For 2024, the on-target STI levels were unaltered for both outgoing Co-Presidents (105%), 120% as from the 2024 AGM for the new single President and CEO (2023: 105%) and 100% for the other members of the Board of Management (2023: 95%). The on-target LTI levels were set at 200% for the new single President and CEO (2023: 170% for Co-Presidents) and 180% for the other Board members (2023: 170%). The Supervisory Board has the discretionary power to adjust the incentive pay-out upward or downward if it feels the outcome is unreasonable due to exceptional circumstances during the performance period. Scenario analyses of the possible outcomes of the variable remuneration components and their effect on the remuneration of the Board of Management are conducted annually. The following table represents the variable pay as percentage of base salary for the Board of Management in the case of maximum, on-target, threshold and below-threshold performance: Performance-driven scenarios Retains high proportion of performance related by: 2024 levels for maximum performance President Other members 100% 100% 180% 150% 400% 360% % Variable 85% % Variable 84% 2024 levels for on target performance President Other members 100% 100% 120% 100% 200% 180% % Variable 76% % Variable 74% 2024 levels for threshold performance President Other members 100% 100% 60% 50% 85.0% 76.5% % Variable 59% % Variable 56% Below threshold performance President Other members 100% 100% % Variable 0% % Variable 0% n Base salary n STI n LTI STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 147 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
Summary of the 2022 Remuneration Policy for the Board of Management The elements of the 2022 Remuneration Policy for the Board of Management and their link to our strategy are summarized below. Summary of 2022 Remuneration Policy Base salary + STI cash bonus + LTI share-based incentive + Pension and other benefits = Total remuneration Fixed remuneration (base salary) Link to strategy/rationale 2022 policy Attract, motivate and retain qualified industry professionals for the Board of Management in order to define and achieve strategic goals. Benchmark • Consisting of 20 most-relevant technology and R&D-oriented companies, including our talent competitors, business peers and (indirect) customers • Composition of companies in the reference group takes into account our geographic location – weighted toward European companies (75% weighting), with some US companies (25% weighting) STI (cash bonus) Link to strategy/rationale 2022 policy Ensure a balanced focus on both the (financial) performance of ASML in the short term, and our sustained future in terms of technological advancement and customer satisfaction, fueling long-term success. • Maximum target STI: 120% of base salary for the President and CEO and 100% for the other BoM members • Implementation 2024 target STI: 120% of base salary for the President and CEO and 100% for the other BoM members The weight of the individual STI performance metrics is as follows: • 60% Financial • 20% Technology Leadership Index • 20% Customer Orientation LTI (share-based incentive) Link to strategy/rationale 2022 policy Contribute to our strategy, long-term interests and sustainability using performance measures which balance the direct interest of our investors, the long-term financial success of ASML, the long-term continuation of technological advancement and the environmental and social dimensions of sustainability. • Maximum target LTI: capped at 200% of base salary • Implementation 2024 target LTI: 200% of base salary for the President and CEO and 180% of base salary for the other BoM members The weight of the individual LTI performance metrics is as follows: • 30% Relative TSR • 20–30% ESG measures; 2024 weight: 20% • 20–30% Technology Leadership Index; 2024 weight: 20% • 20–30% Strategic value drivers; 2024 weight: 30% Other elements of fixed remuneration (pension and other benefits) Link to strategy/rationale 2022 policy Contribute to the competitiveness of the overall remuneration package and create alignment with market practice. • Pension arrangement based on the ‘excedent’ (supplementary) arrangement for employees in the Netherlands – a defined contribution plan • Expense reimbursements, such as company car costs, travel expenses, representation allowances, housing costs (gross amount before taxes), social security costs and health and disability insurance costs Share ownership guidelines Link to strategy/rationale 2022 policy Requirement for a minimum share ownership by members of the Board of Management. Ensure alignment between the interests of the Board of Management members and our long-term value creation. • President and CEO three times annual base salary, other BoM members two times annual base salary • Five-year period to comply • Supervisory Board has discretion to allow a temporary deviation in extraordinary circumstances • Any shortfall will be remediated through the next vesting of shares STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 148 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
Remuneration of Board of Management in 2024 The remuneration of the Board of Management for the financial year 2024 is an implementation of and complies with the 2022 Remuneration Policy for the Board of Management, as further explained below. As such, the remuneration of the Board of Management in 2024 contributed to the objectives of the 2022 Remuneration Policy for the Board of Management and, as a result, to our strategy aimed at sustainable long-term value creation. The Supervisory Board carried out a scenario analysis when determining the structure, level and actual pay-outs of Board of Management remuneration for 2024, in accordance with the Dutch Corporate Governance Code. For variable remuneration elements, the Supervisory Board reviews performance measures, target-setting and pay-out levels to understand the possible outcomes on total remuneration of the Board of Management and to ensure appropriate pay-for- performance relationships under different economic scenarios and performance levels. The Supervisory Board believes the current remuneration structure and outcomes are appropriate for 2024 and are aligned with company performance and shareholder experience. Annual plan 2024 Performance metrics selected EBIT % Customer orientation Technology leadership Performance assessment by SB Base salary The base salaries of the members of the Board of Management were set at the beginning of 2024. To further implement the 2022 Board of Management Remuneration Policy and to more closely align with the market, moderate base salary increases were applied for the Board of Management in 2024. For 2024 base salary levels, reference is made to the section Total remuneration Board of Management. Short-term incentive 2024 The financial and non-financial target levels for the STI were set at the beginning of the 2024 financial year in accordance with the 2022 Remuneration Policy for the Board of Management and taking into account the annual plan (forecast) for 2024. For the STI, the Supervisory Board, taking into consideration our business challenges and circumstances in 2024, decided to select a performance metric focused on profitability: • EBIT Margin %, measuring Income from operations as percentage of total net sales (based on US GAAP). In addition, the following non-financial performance metrics applied for the STI in 2024, in accordance with the Remuneration Policy for the Board of Management: • Customer Orientation: This metric consisted of five sub-targets measuring ASML’s positioning in the market and its performance in terms of customer experience, customer satisfaction and quality. The sub-targets were: adoption of Multi Beam within Applications; DUV Cost and Competitiveness; EUV Low NA maturity; EUV High NA performance; and ASML’s Customer Trust Survey. • Technology Leadership Index: A set of internal targets related to ASML’s product and technology roadmaps. The index measures the technological progress made by ASML over the relevant performance period, supporting our efforts to drive innovation and thereby helping our customers achieve their goals and realize new technology and applications. The Technology Leadership Index for 2024 consisted of a list of 20 key projects in Applications, DUV, EUV NXE and EUV EXE. Among others, these projects related to improvements in inspection and metrology systems, optimization of ASML’s product offering, component commonality and further defining ASML’s technology roadmap. Exact details of the key projects included in the Technology Leadership Index are not disclosed, given that this would be detrimental to the company and its stakeholders from a competitive and strategic point of view. To calculate the Technology Leadership Index performance, each project is scored between 1 and 10; the overall Technology Leadership Index score is the average of the individual scores. Both the STI and LTI make use of the Technology Leadership Index as a qualitative performance measure. The objectives are the same for both, but the applicable measures, targets and performance periods are different and aligned with specific short- and long-term strategic priorities. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 149 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
After the end of the performance period, the Supervisory Board assessed the performance achieved against the targets, in cooperation with the relevant sub-committees: the Technology Committee, Audit Committee, ESG Committee and Remuneration Committee. The target and actual achievement levels for the STI performance criteria are set out in the table below, excluding information which qualifies as commercially or strategically sensitive. The Supervisory Board considers disclosure of this information not to be in the interest of ASML and its stakeholders. In view of transparency, we report performance for these metrics as percentage of target. Performance metric Weight Performance targets1 Actual performance Pay-out2 % of targetThreshold Target Stretch EBIT Margin (%) (Non-GAAP measure) 60% 27.0% 29.5% 32.0% 31.9% 148.5% Customer Orientation 20% 110.2% Consisting of the following weighted sub-targets: Applications: Adoption of Multi Beam 2.5% * 125.0% DUV Cost and Competitiveness 2.5% * 110.0% EUV Low NA Maturity 2.5% * 97.6% EUV High NA Performance 2.5% * 77.0% ASML Customer Trust Survey 10% * 118.1% Technology Leadership Index 20% 4 6 10 8.0 125.0% Total 100% 136.1% 1. Certain performance targets (*) are not disclosed due to strategic or commercial sensitivity. 2. The pay-out % is based on the pay-out levels as included in the Summary of 2022 Remuneration Policy Board of Management. The 2024 EBIT Margin % (Non-GAAP measure) of 31.9% is calculated as Income from operations of €9,023 million divided by Total net sales of €28,263 million. The actual outcome for Customer Orientation amounts to 110.2%, which is a decrease compared to last year’s performance. The actual outcome for Technology Leadership Index of 8.0 is in line with last year’s performance. The total STI outcome for current and former Board of Management results in a cash pay-out of €5.3 million and €1.0 million, respectively, representing a pay-out as a percentage of target of 136.1%. Short-Term Incentive 2025 For 2025, the Supervisory Board has decided to apply the following STI performance measures under the proposed 2025 Remuneration Policy for the Board of Management: Performance metric Weight EBIT Margin (%) (Non-GAAP measure) 60% Customer Orientation 20% Consisting of the following weighted sub-targets: Applications: Adoption of Multi Beam 2.5% DUV Cost and Competitiveness 2.5% EUV Low NA maturity 2.5% EUV High NA insertion 2.5% ASML Customer Trust Survey 10% Strategic Orientation 20% Consisting of the following weighted sub-targets: ERP 5% High Productivity Platform 5% New Product Quality 5% Global Supply Chain Development 5% Total 100% Hereby, the Strategic Orientation measures align with key business priorities that are critical to achieving our strategic objectives. If the proposed 2025 Remuneration Policy for the Board of Management is not adopted by the 2025 AGM, performance measure Strategic Orientation will be replaced with the Technology Leadership Index in line with the current Remuneration Policy. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 150 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
Board of Management Remuneration in 2024 – Long-term incentive Conditionally granted LTI Plan 2024–2026 in 2024 At the beginning of 2024, 29,187 performance shares were conditionally granted to the current and former members of the Board of Management who were eligible to participate in the 2024–2026 LTI performance plan. These conditional grants are based on the maximum achievable opportunity. Target-setting process Review company strategy in line with financial plan Determine business priorities for upcoming three-year performance period Determine LTI performance measures for three-year performance period Finalize long-term financial plan Step 1 Step 2 Step 3 Step 4 At the beginning of 2024, the Supervisory Board, in line with the recommendation of the Remuneration Committee, selected the performance metrics to be used to measure ASML’s performance related to rTSR, Strategic value drivers, Technology Leadership Index and ESG. The Supervisory Board also set the target levels related to all performance metrics for the 2024–2026 LTI Plan, as listed below. This was done taking into account the long-term product roadmap, ESG goals and long-term financial plan, thereby ensuring alignment between the various targets and our long-term strategic priorities and encouraging behavior focused on sustainable long-term value creation. For the 2024–2026 LTI Plan, the following performance metrics apply, in accordance with the 2022 Remuneration Policy for the Board of Management: • TSR vs. Index companies: Measuring our relative change in share price, plus dividends paid over the relevant performance period. The TSR is calculated as the difference between (i) the average (closing) share price during the last quarter of the performance period and (ii) the average (closing) share price during the quarter preceding the performance period; in the calculation, dividends are reinvested at the ex-dividend date. The TSR of ASML (calculated with the ASML New York share) is compared with the PHLX Semiconductor Sector Index companies. This Nasdaq index is designed to track the performance of a set of companies engaged in the design, distribution, manufacture and sale of semiconductors. There are two versions of this index, a price return index and a total return index, the latter of which has been chosen (Nasdaq: X.SOX), as this index reinvests cash dividends, equivalent to the TSR definition described above. • Strategic value drivers: ROAIC (Non-GAAP measure) is based on a three-year average by dividing the income after income taxes (at target R&D) by the average invested capital. Average invested capital is calculated by taking the average of total assets minus cash and cash equivalents, short-term investments, total current liabilities and non- current contract liabilities at the start and end of each quarter over three years. Mergers and acquisitions will be excluded from the evaluation after the LTI period. • Technology Leadership Index: A qualitative measure which is also applied for the STI. As a metric for the LTI, the Technology Leadership Index is more forward looking than its STI equivalent. It consists of targets to be achieved three years ahead, two years ahead and in the coming year. Each year, new targets are defined for the period three years ahead. The targets for two years ahead are based on the prior-year targets (that were three years ahead at that time) and a correction factor on the score (up or down) depending on whether targets appeared to be easier or more difficult to achieve. The same approach is used for subsequent years. The total score for the Technology Leadership Index over the three-year performance period is the average of the scores over the three years, including the relevant correction factors applied on each year’s score. • ESG: A measure consisting of three equally weighted sub-targets, both qualitative and quantitative: (1) employee engagement, (2) gender diversity (fueling a more diverse workforce composition which is a key enabler to our continued success and supports our overall objective of building a diverse talent pool in leadership roles) and (3) commitment of the top 80% of suppliers to reduce their CO2e footprint by 2030. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 151 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
The target levels for the LTI performance criteria based on the policy are set out in the table below: Performance metric Performance targets Weight Threshold Target Maximum Relative TSR 30% As per remuneration policy ROAIC (2024–2026)1 30% 45% 70% 90% ESG Measures 20% Consisting of equally weighted sub-metrics: Employee engagement (Relative benchmark target vs. top 25% performing companies (three-year rolling)) 6.7% -4 -2 0 Gender diversity: 6.7% • % Inflow of women all JG and JG 9+ 24% 26% 28% • % Representation of women in JG 13+ 12% 14% 16% Commitment of the top 80% of suppliers (based on CO2e emissions) to reduce their CO2e footprint by 2030 6.7% 65% 75% 85% Technology Leadership Index 20% 4 6 10 Total 100% 1. The ROAIC 2024–2026 (Non-GAAP measure) is based on a three-year (2024–2026) average by dividing the income after income taxes (at target R&D) by the average invested capital. Average invested capital is calculated by taking the average of total assets minus cash and cash equivalents, short-term investments, total current liabilities and non-current contract liabilities at the start and end of each quarter over three years. Mergers and acquisitions will be excluded from the evaluation after the LTI period. We believe that ROAIC is a meaningful measure because it quantifies our effectiveness in generating returns relative to the capital invested in our business over the past three years. Vesting under the LTI Plan 2022–2024 Following the end of the three-year performance period 2022–2024, the Supervisory Board assessed the performance achieved against the LTI targets, in cooperation with the Technology Committee, Audit Committee, ESG Committee and Remuneration Committee. The performance metrics that applied to the LTI 2022–2024 Plan were TSR vs. Index companies, Normalized Cash Conversion Rate percentage (as strategic value driver), Technology Leadership Index and ESG, in accordance with the 2022 Remuneration Policy for the Board of Management. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 152 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued) Vesting of shares process Grant date Vesting period within three years Vesting date Holding period two years End of transfer restrictions • In the period between the grant date and the vesting date, performance shares are conditional • Performance shares are delivered to the participant. However, transfer restrictions apply: acquired performance shares cannot be transferred during the holding period • Participant is allowed to sell sufficient performance shares to cover tax obligations


 
The target and actual achievement levels for the LTI performance criteria based on the policy are set out in the table below: Performance targets Actual performance Pay-out %2 % of targetPerformance metric Weight Threshold Target Stretch Relative TSR 30% 87.5% 121.6% 138.0% 92.7% 36.5% Normalized three-year average cash conversion rate %1 30% 80% 90% 95% 96.3% 200.0% Technology Leadership Index 20% 4 6 10 8.2 154.2% ESG Measures 20% 152.5% Consisting of the following sub-measures: EUV energy use per wafer pass (kWh per wafer pass) 6.7% 7.0 6.5 6.0 5.9 200.0% Employee engagement (Relative benchmark target vs. top 25% performing companies (3 year rolling)) 6.7% -4% -3% 0% -2.1% 129.8% % Representation of women in JG 13+ 6.7% 10% 12% 14% 12.6% 127.6% Total 100% 132.3% 3 1. The normalized three-year average cash conversion rate % (CCR) is calculated by dividing normalized free cash flow (Non-GAAP measure) by net income (three-year average). Free cash flow (Non-GAAP measure) is normalized by excluding early payments received in a certain financial year from customers without a contractual payment obligation in that financial year. Free cash flow is a non-GAAP (generally accepted accounting principles) measure and is defined as net cash provided by operating activities minus purchase of property, plant and equipment and purchase of intangible assets. Purchase of property, plant and equipment and purchase of intangible assets are deducted from net cash provided by operating activities in calculating free cash flow because these payments are necessary to support the maintenance and investments in our assets to maintain the current asset base. 2. The pay-out percentage is based on the pay-out levels as included in the Summary of 2022 Remuneration Policy Board of Management. 3. Total actual performance score of 132.3% is based on weighting of individual performance metrics multiplied by the pay-out percentage. The total LTI outcome results in a share vesting of 132.3% of target. Long-Term Incentive Plan 2025–2027 In 2025, it is intended to grant 30,481 performance shares to the current members of the Board of Management for the 2025–2027 LTI performance plan. These conditional grants are based on the maximum achievable opportunity for 2025 under the proposed 2025 Remuneration Policy for the Board of Management. For the 2025–2027 performance period, the Supervisory Board has decided to apply the following LTI performance measures and target-setting under the proposed 2025 Remuneration Policy for the Board of Management: Performance targets Performance metric Weight Threshold Target Maximum Relative TSR 25% As per remuneration policy ROAIC (2025–2027)1 35% 35% 50% 65% ESG measures2 20% Consisting of the following sub-measures: Gender diversity: 6.7% • % Inflow of women JG 9+ (external and internal inflow) 23.0% 25.0% 27.0% • % Representation of women in JG 13+ 14.0% 15.0% 16.0% Engagement and inclusion: 6.7% • Employee engagement (Relative benchmark target vs. top 25% performing companies (3 year rolling)) —4p.p. —2 p.p. 0 p.p. • Inclusion score (Relative benchmark target vs. top 25% performing companies (3 year rolling)) —4p.p. —2 p.p. 0 p.p. EUV energy use per wafer pass (kWh per wafer pass) 6.7% 5.0 4.7 4.5 Technology Leadership Index 20% 4 6 10 Total 100% 1. The ROAIC 2025–2027 (Non-GAAP measure) is based on a three-year (2025-2027) average by dividing the income after income taxes (at target R&D) by the average invested capital. Average invested capital is calculated by taking the average of total assets minus cash and cash equivalents, short-term investments, total current liabilities and non-current contract liabilities at the start and end of each quarter over three years. Mergers and acquisitions will be excluded from the evaluation after the LTI period. We believe that ROAIC is a meaningful measure because it quantifies our effectiveness in generating returns relative to the capital invested in our business over the past three years. 2. ASML presents in this Annual Report its diversity and inclusion policies and targets for, and progress on achieving, gender diversity in accordance with Dutch law and its Diversity and Inclusion policy adopted by the BoM pursuant to requirements of Dutch law. ASML has become aware of US executive order 14173 (the “EO”) signed in January 2025, under which the US Office of Federal Contract Compliance Programs must, among other things, immediately cease promoting diversity and allowing or encouraging US federal contractors and subcontractors to engage in workforce balancing based on race, color, sex, sexual preference, religion, or national origin. As a company with a dual listing on Euronext Amsterdam and Nasdaq, ASML is currently reviewing the implications of the EO. These targets and policy will not apply to ASML’s US employees to the extent this would conflict with the EO or other applicable law, regulation or orders. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 153 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
If the proposed 2025 Remuneration Policy for the Board of Management is not adopted by the 2025 AGM, the weighting of performance measures Relative TSR and ROAIC will be adjusted to 30% each, in line with the current Remuneration Policy for the Board of Management. Other remuneration In 2024, members of the Board of Management participated in the pension arrangement for the Board of Management, based on the ‘excedent’ (supplementary) arrangement for our employees in the Netherlands, a defined contribution opportunity as defined in Dutch fiscal regulations. It consists of a gross pension element (for the salary below approximately €138,000 minus the Witteveen threshold1) and a net pension element (for the salary above approximately €138,000). Details of the incurred expenses relating to the application of the pension arrangement in 2024 can be found in the table Total Remuneration Board of Management. Expenses reimbursed by ASML in 2024 included company car costs, representation allowances, social security costs, health and disability insurance costs and other benefits which reflect local market practice. 1. Dutch pension arrangements have a threshold in the build-up of pension entitlements. This threshold exists because all participants are assumed to be entitled to the Dutch state pension (AOW) and therefore do not need an additional pension over the first part of their pensionable income. The minimum level in the fiscal legislation for this threshold is related to the AOW allowance and is known as the Witteveen threshold. This threshold is calculated as the annual AOW allowance (including holiday allowance) for a married person times 10/7. Share ownership guidelines The table below shows the share ownership guidelines, number of outstanding vested shares and share ownership ratio of each Board of Management member as per December 31, 2024. All BoM members complied with the minimum ownership guidelines per year end 2024. Board of Management Ownership guidelines 2024 base salary (in € thousands) Number of outstanding vested shares Ownership ratio1 C.D. Fouquet 3x base 1,082 7,174 4.50 F.J.M. Schneider- Maunoury 2x base 754 19,800 17.82 R.J.M. Dassen 2x base 754 4,777 4.30 W.R. Allan 2x base 754 3,207 2.89 J.P. Koonmen2 2x base 752 7,117 6.42 1. The Ownership ratio is calculated by multiplying the number of outstanding vested shares with the share price of €678.70 (based on the closing share price of December 31, 2024) and dividing this by the 2024 annualized base salary. 2. James (Jim) P. Koonmen’s Long-Term Incentive (LTI) grants are vested in ASML NY shares (listed on the U.S. Nasdaq). His ownership ratio, calculated based on his 2024 U.S. dollar base salary of $816,657 and the ASML NY share price of $693.08 (based on the closing share price of December 31, 2024), is 6.04. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 154 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
Total remuneration Board of Management The remuneration of the members of the Board of Management based on incurred accounting expenses in 2024, 2023 and 2022 is included in the table below (amounts are in € thousands). The accounting expenses of the remuneration reported as LTI is evenly distributed over the three-year vesting period of each share award. The accounting expenses are divided into market-based and non-market-based elements. For the non-market based elements, the accounting expense is based on the maximum achievable payout during the first two years of the vesting period. In the third and final year of the vesting period, the share award’s estimate is adjusted to reflect the actual payout. The market-based element is accounted for at the target payout. Board of Management member Financial year Base salary Pension Other benefits Total fixed % Fixed STI LTI Total variable % Variable Ratio fixed/variable Total remuneration C.D. Fouquet1 2024 979 111 63 1,153 21.2% 1,532 2,747 4,279 78.8% 0.27 5,432 2023 725 82 56 863 24.5% 883 1,773 2,656 75.5% 0.32 3,519 2022 694 78 53 825 29.5% 619 1,354 1,973 70.5% 0.42 2,798 F.J.M. Schneider-Maunoury 2024 754 161 51 966 23.0% 1,026 2,217 3,243 77.0% 0.30 4,209 2023 725 148 45 918 25.7% 883 1,773 2,656 74.3% 0.35 3,574 2022 694 141 36 871 30.6% 619 1,354 1,973 69.4% 0.44 2,844 R.J.M. Dassen 2024 754 133 60 947 22.6% 1,026 2,217 3,243 77.4% 0.29 4,190 2023 725 121 56 902 25.4% 883 1,773 2,656 74.6% 0.34 3,558 2022 694 116 51 861 30.4% 619 1,354 1,973 69.6% 0.44 2,834 W.R. Allan2 2024 754 133 163 6 1,050 26.9% 1,026 1,821 3 2,847 73.1% 0.37 3,897 2023 492 82 38 612 29.6% 599 860 1,459 70.4% 0.42 2,071 J.P. Koonmen4,5 2024 516 8 206 6 730 31.1% 702 915 1,617 68.9% 0.45 2,347 Total Board of Management 2024 3,757 546 543 4,846 24.1% 5,312 9,917 15,229 75.9% 0.32 20,075 2023 2,667 433 195 3,295 25.9% 3,248 6,179 9,427 74.1% 0.35 12,722 2022 2,082 335 140 2,557 30.2% 1,857 4,062 5,919 69.8% 0.43 8,476 1. Christophe D. Fouquet was appointed as President and CEO of ASML on April 24, 2024. His 2024 Long-Term Incentive (LTI) is based on the signed grant letter with grant date January 23, 2024. Although he was not formally appointed as President and CEO at the time of the grant, Christophe D. Fouquet received a grant on January 23, 2024, in anticipation of his forthcoming appointment as President and CEO of ASML. His 2024 Short-Term Incentive (STI) was calculated based on his cumulative base salary of €242,000 with an STI target of 100% until the 2024 Annual General Meeting (AGM), as a non-President, and his cumulative base salary of €737,000 with an STI target of 120% effective from the 2024 AGM, upon his appointment as President. 2. Wayne R. Allan was appointed as a member of the Board of Management on April 26, 2023. His 2024 Long-Term Incentive (LTI) is based on the signed grant letter with grant date January 27, 2023. Although he was not a member of the Board of Management at the time of the grant, Wayne R. Allan received the grant in anticipation of his appointment to the Board of Management. 3. Wayne R. Allan's 2024 Long-Term Incentive (LTI) expense does not include the accounting release associated with the 2022 LTI plans that vested, as he was not a member of the Board of Management at the time this plan was granted in 2022. 4. James (Jim) P. Koonmen was appointed as a member of the Board of Management on April 24, 2024. Although he was not a member of the Board of Management at the time of the grant, James (Jim) P. Koonmen received the grant in anticipation of his appointment to the Board of Management. 5. James (Jim) P. Koonmen's remuneration is paid in U.S. dollars. In 2024, his U.S. dollar-denominated equivalent of his cumulative base salary as a member of the Board of Management was $560,259 (€515,837). His 2024 Short-Term Incentive (STI) payout is calculated based on his U.S. dollar-denominated equivalent cumulative base salary, resulting in a total of $762,512 (€702,054). 6. Wayne R. Allan (2024: €102,867) and James (Jim) P. Koonmen (2024: €177,055) received compensation to address the effects of double taxation in both the Netherlands and the United States. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 155 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
Total remuneration former Board of Management Peter T.F.M. Wennink and Martin A. van den Brink are no longer part of the Board of Management, as they retired as Presidents from ASML on April 24, 2024. Former Board of Management member Financial year Base salary Pension Other benefits Total fixed % Fixed STI LTI Total variable % Variable Ratio fixed/variable Total remuneration P.T.F.M. Wennink1 2024 345 82 119 2 546 10.9% 494 3 3,953 4,447 89.1% 0.12 4,993 2023 1,040 248 61 1,349 22.7% 1,400 3,192 4,592 77.3% 0.29 5,941 2022 1,020 206 58 1,284 30.0% 961 2,035 2,996 70.0% 0.43 4,280 M.A. van den Brink1 2024 345 82 111 2 538 10.8% 494 3 3,953 4,447 89.2% 0.12 4,985 2023 1,040 248 59 1,347 22.7% 1,400 3,192 4,592 77.3% 0.29 5,939 2022 1,020 206 57 1,283 30.0% 961 2,035 2,996 70.0% 0.43 4,279 Total former Board of Management 2024 690 164 230 1,084 10.9% 988 7,906 8,894 89.1% 0.12 9,978 2023 2,080 496 120 2,696 22.7% 2,800 6,384 9,184 77.3% 0.29 11,880 2022 2,040 412 115 2,567 30.0% 1,922 4,070 5,992 70.0% 0.43 8,559 1. On April 24, 2024, Peter T.F.M. Wennink and Martin A. van den Brink stepped down from their roles as Presidents of ASML. They are still eligible for the performance shares awarded under the LTI plans for the years 2022, 2023 and 2024, which will vest based on the performance criteria outlined in their grant letters. Their 2024 LTI plan has been granted on a pro rated in time basis to reflect end of term. Consequently, the remaining associated LTI expenses have been recognized over the remaining service period, from the announcement of their retirement on November 30, 2023, until their actual retirement on April 24, 2024. 2. In 2024, Peter T.F.M. Wennink and Martin A. van den Brink received a jubilee award equivalent to their gross monthly salary. 3. In 2024, the on-target STI levels for Peter T.F.M. Wennink and Martin A. van den Brink were unaltered (105%). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 156 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
Share-based payments Performance-based share-based remuneration for current members of the Board of Management is disclosed in the table below. Fractional shares are rounded to full shares for reporting purposes. Of market-based element Of non-market-based elements Board of Management member Grant date Status Full control Number of shares at target Fair value at grant date Number of shares at target Fair value at grant date Total number of shares at target Total number of shares at maximum (200%) Vesting date Number of vested shares on publication date Year-end closing share price in year of vesting End of lock-up date C.D. Fouquet1 1/23/24 Conditional No 1,065 939.9 2,485 692.7 3,550 7,100 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 731 901.9 1,706 603.4 2,437 4,874 1/1/26 n/a n/a 1/1/28 4/29/22 Conditional2 No 483 596.0 1,126 533.5 1,609 3,217 1/1/25 2,128 678.7 1/1/27 1/22/21 Unconditional No 717 635.6 1,670 454.9 2,387 4,774 1/1/24 3,763 681.7 1/1/26 1/24/20 Unconditional No 858 286.9 2,001 263.7 2,859 5,718 1/1/23 5,208 503.8 1/1/25 F.J.M. Schneider-Maunoury 1/23/24 Conditional No 668 939.9 1,559 692.7 2,227 4,453 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 731 901.9 1,706 603.4 2,437 4,874 1/1/26 n/a n/a 1/1/28 4/29/22 Conditional2 No 483 596.0 1,126 533.5 1,609 3,217 1/1/25 2,128 678.7 1/1/27 1/22/21 Unconditional No 717 635.6 1,670 454.9 2,387 4,774 1/1/24 3,763 681.7 1/1/26 1/24/20 Unconditional No 858 286.9 2,001 263.7 2,859 5,718 1/1/23 5,208 503.8 1/1/25 R.J.M. Dassen 1/23/24 Conditional No 668 939.9 1,559 692.7 2,227 4,453 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 731 901.9 1,706 603.4 2,437 4,874 1/1/26 n/a n/a 1/1/28 4/29/22 Conditional2 No 483 596.0 1,126 533.5 1,609 3,217 1/1/25 2,128 678.7 1/1/27 1/22/21 Unconditional No 717 635.6 1,670 454.9 2,387 4,774 1/1/24 3,763 681.7 1/1/26 1/24/20 Unconditional No 858 286.9 2,001 263.7 2,859 5,718 1/1/23 5,208 503.8 1/1/25 W.R. Allan3 1/23/24 Conditional No 668 939.9 1,559 692.7 2,227 4,453 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 731 901.9 1,706 603.4 2,437 4,874 1/1/26 n/a n/a 1/1/28 J.P. Koonmen4,5 1/23/24 Conditional No 676 939.9 1,578 692.7 2,255 4,509 1/1/27 n/a n/a 1/1/29 1. Christophe D. Fouquet was appointed as President and CEO of ASML on April 24, 2024. His 2024 Long-Term Incentive (LTI) grant is based on the signed grant letter with grant date January 23, 2024. Although he was not formally appointed as President and CEO at the time of the grant, Christophe D. Fouquet received a grant on January 23, 2024, in anticipation of his forthcoming appointment as CEO and President of ASML. 2. The LTI plans that were granted on April 29, 2022 became unconditional after the vesting date on January 1, 2025. 3. Wayne R. Allan was appointed as a member of the Board of Management on April 26, 2023. His 2024 Long-Term Incentive (LTI) is based on the signed grant letter with grant date January 27, 2023. Although he was not a member of the Board of Management at the time of the grant, Wayne R. Allan received the grant in anticipation of his appointment to the Board of Management. 4. James (Jim) P. Koonmen was appointed as a member of the Board of Management on April 24, 2024. Although he was not a member of the Board of Management at the time of the grant, James (Jim) P. Koonmen received the grant in anticipation of his appointment to the Board of Management. 5. James (Jim) P. Koonmen's share-based remuneration is based on ASML NY shares (Nasdaq stock exchange). The fair value of his 2024 Long-Term Incentive (LTI) grant for the marked-based element is $1,034.6 and for the non-marked-based elements is $762.5. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 157 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
Performance-based share-based remuneration for former members of the Board of Management is disclosed in the below table. Fractional shares are rounded down to full shares for reporting purposes. Of market-based element Of non-market-based elements Former Board of Management member Grant date Status Full control Number of shares at target Fair value at grant date Number of shares at target Fair value at grant date Total number of shares at target Total number of shares at maximum (200%) Vesting date Number of vested shares on publication date Year-end closing share price in year of vesting End of lock-up date P.T.F.M. Wennink1 1/23/24 Conditional No 316 939.9 738 692.7 1,054 2,109 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 1,049 901.9 2,447 603.4 3,496 6,991 1/1/26 n/a n/a 1/1/28 4/29/22 Conditional2 No 709 596.0 1,655 533.5 2,364 4,727 1/1/25 3,126 678.7 1/1/27 1/22/21 Unconditional No 1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 5,531 681.7 1/1/26 1/24/20 Unconditional No 1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 8,420 503.8 1/1/25 M.A. van den Brink1 1/23/24 Conditional No 316 939.9 738 692.7 1,054 2,109 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 1,049 901.9 2,447 603.4 3,496 6,991 1/1/26 n/a n/a 1/1/28 4/29/22 Conditional2 No 709 596.0 1,655 533.5 2,364 4,727 1/1/25 3,126 678.7 1/1/27 1/22/21 Unconditional No 1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 5,531 681.7 1/1/26 1/24/20 Unconditional No 1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 8,420 503.8 1/1/25 1. On April 24, 2024, Peter T.F.M. Wennink and Martin A. van den Brink stepped down from their roles as Presidents of ASML. They are still eligible for the performance shares awarded under the LTI plans for the years 2022, 2023 and 2024, which will vest based on the performance criteria outlined in their grant letters. Their 2024 LTI plan has been granted on a pro rated in time basis to reflect end of term. Consequently, the remaining associated LTI expenses have been recognized over the remaining service period, from the announcement of their retirement on November 30, 2023, until their actual retirement on April 24, 2024. 2. The LTI plans that were granted on April 29, 2022 became unconditional after the vesting date on January 1, 2025. Reasons, criteria and principal conditions for granting shares ASML has sufficient treasury shares as per December 31, 2024 for the purpose of exercising rights related to performance-based share-based remuneration. For the reasons and criteria for granting the performance shares to each member of the Board of Management, reference is made to the Summary of 2022 Remuneration Policy Board of Management and to the section Board of Management Remuneration in 2024 – Long-term incentive as included in this Remuneration Report. The principal conditions applicable to the 2024 performance shares are described below. These apply to each member of the Board of Management. Instrument Performance shares Grant Conditional grant on an annual basis based on maximum achievable opportunity. The number of performance shares to be conditionally awarded is calculated using the volume-weighted average share price during the last quarter of the year preceding the conditional award. Grant date Date on which the performance shares are conditionally granted. Performance period Period of three years over which the achievement of the predefined performance targets is measured. Vesting The shares will become unconditional after the end of the performance period, depending on the level of achievement of the predetermined performance targets. Holding period The minimum holding period is two years after the vesting date. Upon termination of contract, the transfer restrictions will remain in place during the holding period except in case of decease. In case a tax payment is due by the members of the Board of Management over the retrieved variable income, performance shares may be partially sold at vesting (‘sell to cover’) in accordance with the law and internal regulations. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 158 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
Relationship between accounted remuneration and company’s performance The following table provides an overview of the relationship between accounted remuneration and the company’s performance for the past five years: For the year ended December 31 (€, in thousands) 2020 2021 Change (in %) 2022 Change (in %) 2023 Change (in %) 2024 Change (in %) Net sales 13,978,452 18,610,994 33.1 21,173,448 13.8 27,558,506 30.2 28,262,877 2.6 Net income based on US GAAP 3,553,670 5,883,177 65.6 5,624,209 (4.4) 7,838,994 39.4 7,571,563 (3.4) Net income based on EU-IFRS 3,696,813 6,134,595 65.9 6,395,775 4.3 8,115,168 26.9 8,348,971 2.9 ASML share price (closing price on Euronext Amsterdam in €) 397.6 706.7 77.7 503.8 (28.7) 681.7 35.3 678.7 (0.4) Average number of payroll employees in FTEs 24,727 28,223 14.1 33,071 17.2 38,805 17.3 41,697 7.5 Employee engagement score n/a 78.0% n/a 77.9% (0.1) 80.3% 3.1 78.4% (2.4) Remuneration C.D. Fouquet (CEO)1 2,975 3,137 5.4 2,798 (10.8) 3,519 25.8 5,432 54.4 Remuneration P.T.F.M. Wennink (former CEO)2 4,564 4,820 5.6 4,280 (11.2) 5,941 38.8 4,993 (16.0) Remuneration M.A. van den Brink (former CEO) 4,564 4,819 5.6 4,279 (11.2) 5,939 38.8 4,985 (16.1) Remuneration F.J.M. Schneider-Maunoury 2,927 3,158 7.9 2,844 (9.9) 3,574 25.7 4,209 17.8 Remuneration R.J.M. Dassen 3,804 3,800 (0.1) 2,834 (25.4) 3,558 25.5 4,190 17.8 Remuneration W.R. Allan3 n/a n/a n/a n/a n/a 2,071 n/a 3,897 88.2 Remuneration J.P. Koonmen4 n/a n/a n/a n/a n/a n/a n/a 2,347 n/a Average remuneration per FTE based on US GAAP 120 122 1.7 125 2.5 138 10.4 145 5.1 Average remuneration per FTE based on EU-IFRS 120 122 1.7 118 (3.3) 143 21.2 145 1.4 Internal pay ratio (CEO versus employee remuneration based on US GAAP)5 38 40 5.3 34 (15.0) 43 26.5 40 (7.0) Internal pay ratio (CEO versus employee remuneration based on EU-IFRS)5 38 40 5.3 36 (10.0) 42 16.7 40 (4.8) 1. Christophe D. Fouquet was appointed as President and CEO of ASML on April 24, 2024. As he was already a member of the Board of Management (BoM), his total remuneration for 2024 is disclosed by taking into account his tenure as both a regular BoM member and as President and CEO of ASML. 2. As announced by ASML on November 30, 2023, Peter T.F.M. Wennink stepped down from his role as President of ASML on April 24, 2024. As a result, the Long-Term Incentive (LTI) expenses for his ongoing LTI plans were accelerated over his remaining service period in 2023 and 2024. For comparison purposes, if Mr. Wennink were to remain in service, his normalized LTI expense would amount to €2,575 thousand in 2023, with an internal pay ratio of 42 based on US GAAP and 40 based on EU-IFRS for the same year. 3. Wayne R. Allan was appointed as a member of the Board of Management on April 26, 2023. 4. James (Jim) P. Koonmen was appointed as a member of the Board of Management on April 24, 2024. 5. The calculation approach of the internal pay ratio is disclosed in the section Relationship between CEO and average remuneration (pay ratio). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 159 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
Explanation of changes in company’s performance versus remuneration The foregoing table aims to provide insight into our performance over the past five years and the development of the remuneration. The metrics net sales, net income and share price are used to measure performance, as they are key metrics serving as a good proxy for our general performance, as well as in view of comparability with other companies. Actual remuneration may fluctuate year-on-year depending on actual STI pay-out in any year, as well as the vesting of performance shares (LTI) in any year and the share price at that moment. We have grown significantly over recent years, which is not only reflected in the number of employees but also in terms of performance. Over the last five years, net sales increased by 202%, net income increased by 218% based on US GAAP (226% based on EU-IFRS) and ASML's share price increased by more than 170%. This shows that our performance has improved significantly, leading to several revisions of the Remuneration Policy for the Board of Management in past years (last update in 2022), resulting in higher base salaries as well as higher target levels of STI and LTI leading to a similar increase in the remuneration over this same period. Relationship between CEO and average remuneration (pay ratio) The internal pay ratio consists of the CEO’s total annualized1 remuneration (including all remuneration components) during 2024 of €5,771 thousand, compared to the average remuneration of all employees. The average remuneration of all employees was calculated taking into account the total employee personnel expenses (wages and salaries + social security expenses + pension and retirement expenses + share-based payments), divided by the average number of payroll employees in FTE = €6,037.4 million divided by 41,697 = €145 thousand. This ratio has neither been prepared to comply with the Pay Ratio Disclosure requirements under SEC regulations nor with the ESRS requirements2. The ratio is based on the highest-paid individual according to accounting values consisting of fixed and variable remuneration elements compared to the average remuneration of all employees that are in service with the company, which excludes all other Board of Management members. This calculation approach brings the ratio more into line with the requirements of the Corporate Governance Code. 1. Remuneration reflects the 2024 remuneration of the current CEO. 2. For the annual total remuneration ratio in accordance with ESRS, we refer to the Sustainability statements. The internal pay ratio (CEO versus employee remuneration) based on US GAAP decreased to 40:1 in 2024 (2023: 43:1) and based on EU-IFRS decreased to 40:1 in 2024 (2023: 42:1). The decrease is mainly a result of Mr. Wennink's retirement since his remaining expected LTI expenses were accelerated over his remaining service period in 2023. We intend to grant competitive remuneration to employees at all position levels. At each level remuneration should reflect the responsibilities of the role. The build-up of remuneration from level to level should therefore be gradual and in line with increasing responsibilities, as well as following market practice. At the highest level the steps become gradually bigger as responsibilities ultimately rise from a divisional level to an overall company level. The Supervisory Board considers the current build-up and the overall pay ratio to be equitable, considering our current performance. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 160 Corporate governance Supervisory Board report Remuneration report Board of Management remuneration (continued)


 
In this section of the Remuneration Report, we provide an overview of the 2023 Remuneration Policy for the Supervisory Board and remuneration amounts as both adopted by the General Meeting on April 26, 2023, and as in force from April 1, 2023 onwards. We also provide information about the implementation of the 2023 Remuneration Policy in 2024 by giving details of the members’ actual remuneration in 2024. The 2023 Remuneration Policy and remuneration amounts can both be found in the Governance section of our website. Remuneration Policy Remuneration objectives and principles The 2023 Remuneration Policy for the Supervisory Board is designed to enable ASML to attract and retain qualified Supervisory Board members, who together compose a diverse and balanced Supervisory Board with the appropriate level of skills, competencies and experience required to properly supervise (the execution of) our strategy and performance, which is focused on the creation of sustainable long-term value for all stakeholders. The Remuneration Policy for the Supervisory Board is built on the following principles: • Competitiveness – The remuneration structure and levels intend to be competitive in the relevant market, while at the same time taking into account societal trends and perceptions. • Alignment – The policy is benchmarked to market practice. • Fairness – The remuneration should reflect the time spent and the responsibilities of the members. • Independence – The remuneration of a member may not be made dependent on the results of the company. • Compliance – ASML adopts the highest standards of good corporate governance. • Simplicity and transparency – The Remuneration Policy and its execution are as simple as possible and easily understandable for all stakeholders. Reference group and market positioning The remuneration of the Supervisory Board should be competitive compared with a relevant reference market. This market is defined using a reference group of companies with a two-tier board structure included in the AEX Index of Euronext Amsterdam. To determine the appropriate positioning within this group, market cap, revenue and number of employees are taken into account. In addition, given the international character of ASML and our Supervisory Board, market benchmark is also conducted against the international Board of Management reference group to provide broader market reference and context. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 161 Corporate governance Supervisory Board report Remuneration report Supervisory Board remuneration


 
Summary of Remuneration of the Supervisory Board This table provides an overview of the 2023 and 2024 implementation of the Remuneration Policy for the Supervisory Board and remuneration amounts of the members of the Supervisory Board as both adopted at the 2023 AGM. Fixed remuneration Description in 2023 Remuneration Policy 2023 2024 Fixed remuneration paid in cash including a base membership fee, committee fees and additional compensation contingent on Supervisory Board members' activities and responsibilities. Chair of Supervisory Board €140,000 €140,000 Vice Chair of Supervisory Board €100,000 €100,000 Member of Supervisory Board €80,000 €80,000 Chair Audit Committee €27,000 €27,000 Member Audit Committee €18,000 €18,000 Chair of other committees €22,000 €22,000 Member of other committees €16,000 €16,000 Extra allowance for intercontinental meetings Description in 2023 Remuneration Policy 2023 2024 Extra, fixed allowance paid in connection with additional time commitment for intercontinental travel. For each meeting that involves intercontinental travel. €5,000 €5,000 Expenses Description in 2023 Remuneration Policy 2023 2024 Expenses incurred in relation to meeting attendance are reimbursed. In addition, a fixed net cost allowance is paid, covering certain pre-defined out-of-pocket expenses. Fixed net cost allowance Chair of Supervisory Board €1,980 €1,980 Member of Supervisory Board €1,380 €1,380 Remuneration in special circumstances The Supervisory Board may, upon recommendation of the Remuneration Committee, grant additional remuneration in special circumstances. This may concern granting increased Supervisory Board and/or committee fees, depending on the character of the circumstances – for instance, if there were a significant increase in time investment by its members. The additional annual remuneration per member will be capped at one time the amount of the annual Supervisory Board membership fee payable to such member. The Supervisory Board considers an increase of at least 25% a significant increase in time investment. Loans and guarantees Description Value No (personal) loans or guarantees or the like will be granted. Not applicable Shares and share ownership Description Value No (rights to) shares are granted by way of remuneration. Any holding of ASML shares is for the purpose of long-term investment. Any trading activity is subject to our Insider Trading Rules. Not applicable Other arrangements Description Value (Re)appointment based on Dutch law and our Articles of Association. No clawback, severance or change in control arrangements is in place. Not applicable STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 162 Corporate governance Supervisory Board report Remuneration report Supervisory Board remuneration (continued)


 
Remuneration of the Supervisory Board in 2024 Overview of the remuneration of the Supervisory Board members based on incurred accounting expenses over the last five years (amounts are in € thousands): Supervisory Board member Membership fees 2024 Committee fees 2024 Allowances 20241 Ratio fixed/variable 2024 Total remuneration 2024 Total remuneration 2023 Total remuneration 2022 Total remuneration 2021 Total remuneration 2020 T.L. Kelly 80 38 11 1.0 129 137 126 107 88 A.P. Aris 100 48 6 1.0 154 152 144 127 95 B.M. Conix 80 40 6 1.0 126 109 99 63 n/a D.M. Durcan 80 38 26 1.0 144 137 126 112 57 D.W.A. East 80 34 6 1.0 120 119 99 93 59 N.S. Andersen 140 40 7 1.0 187 123 n/a n/a n/a J.P. de Kreij 80 43 6 1.0 129 85 n/a n/a n/a A.F.M. Everke 80 32 6 1.0 118 104 66 n/a n/a A.L. Steegen 80 32 6 1.0 118 109 66 n/a n/a Total 800 345 80 1.0 1,225 1,075 726 502 299 1. Allowances consist of fixed-expense allowances and allowances for intercontinental meetings. No pay has been granted in 2024 pursuant to the 'Remuneration in special circumstances clause' as included in the 2023 Remuneration Policy for the Supervisory Board. No variable pay has been granted to the current and former members during the last five years. The remuneration of the Supervisory Board is not directly linked to the performance of ASML, in line with the remuneration principles set out in the 2023 Remuneration Policy for the Supervisory Board. Remuneration of former Supervisory Board members Overview of the remuneration awarded to the former Supervisory Board members in 2024, 2023 and 2022 (amounts are in € thousands): Former Supervisory Board member Total remuneration 2024 Total remuneration 2023 Total remuneration 2022 G.J. Kleisterlee n/a 61 190 R.D. Schwalb n/a 37 116 J.M.C. Stork n/a n/a 40 Total n/a 98 346 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 163 Corporate governance Supervisory Board report Remuneration report Supervisory Board remuneration (continued)


 
Total remuneration The total annual remuneration for the members of the Board of Management and the Supervisory Board members (current and former) during 2024 amounts to €31.3 million (2023: €25.8 million). Other arrangements No remuneration has been granted for (supervisory) directorships or other positions of Board of Management members in subsidiaries of ASML or other companies whose financials are consolidated by ASML, in accordance with the agreements with the members of the Board of Management. No (personal) loans have been granted to the members of the Board of Management or the Supervisory Board and no guarantees or the like have been granted in favor of any of the members of the Board of Management and the Supervisory Board. No severance payments were granted to members of the Board of Management and the Supervisory Board in 2024. Clawback ASML has implemented the clawback provisions as laid down in the Dutch Civil Code in the agreements with the members of the Board of Management. Furthermore, in order to comply with the rules implementing incentive- based compensation recovery (clawback) as issued by the SEC and Nasdaq, the Supervisory Board adopted the ASML Clawback Policy under US/Nasdaq Rules. This policy has been filed as an exhibit to ASML's 2023 Annual Report on Form 20-F and is incorporated by reference into this report. No variable remuneration has been clawed back during 2024. Deviations In 2024, no deviations took place from the decision- making process for the implementation of the applicable remuneration policies for the Board of Management and the Supervisory Board and no temporary deviations took place. Shareholder voting At the 2024 AGM, the Remuneration Report for the financial year 2023 was submitted to the 2024 AGM for an advisory vote. 94.10% of the votes were cast in favor. In the Message from the Remuneration Committee Chair at the beginning of this Remuneration Report, we discuss how we have taken into account the feedback received on Board of Management and Supervisory Board remuneration. This Remuneration Report will be submitted to the 2025 AGM for an advisory vote in line with Dutch law. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 164 Corporate governance Supervisory Board report Remuneration report Other Information


 
Directors’ responsibility statement The Board of Management hereby declares that, to the best of its knowledge, the Financial Statements prepared in accordance with EU-IFRS and Part 9 of Book 2 of the Dutch Civil Code provide a true and fair view of the assets, liabilities, financial position and profit or loss of ASML Holding NV and the undertakings included in the consolidation taken as a whole and that the Annual Report includes a fair review concerning the position, as per the statement of financial position date, the development and performance of ASML Holding NV and the undertakings included in the consolidation taken as a whole, together with the principal risks and uncertainties that they face. In accordance with the Dutch Corporate Governance Code, the Board of Management hereby states that to the best of its knowledge and belief, that based on the current state of affairs, it is justified that the financial reporting is prepared on a going concern basis, and the Annual Report discloses all material risks associated with the strategy and activities of ASML, including the strategic, operational, compliance and reporting risks, and the uncertainties that are relevant regarding the expectation as to the continuity of ASML for the 12- month period after the date of issue of this Annual Report. In control statement As the Board of Management of ASML Holding NV, we hereby state that we are responsible for the design, implementation and operation of ASML’s internal risk management and control systems. The purpose of these systems is to adequately and effectively manage the significant risks to which ASML is exposed and they provide reasonable assurance that the financial reporting does not contain material inaccuracies. Such systems can never provide absolute assurance regarding achievement of corporate objectives, nor can they provide an absolute assurance that material errors, losses, fraud and the violation of laws or regulations will not occur. We acknowledge the importance of internal control and risk management systems. Additionally, ASML is required to properly establish and maintain internal controls over financial reporting, per section 404 of the Sarbanes-Oxley Act of 2002. Under the supervision and with the participation of ASML’s CEO and CFO, ASML’s management conducts an annual evaluation of the effectiveness of ASML’s internal control over financial reporting based upon the framework in "Internal Control – Integrated Framework" (2013) issued by the Committee of Sponsoring Organizations of the Treadway Commission. Outcomes of the annual assessment, periodical updates, as well as significant changes and improvements, are regularly reported to and discussed with ASML’s Audit Committee and external auditors. The Audit Committee reports about these subjects to the Supervisory Board on a regular basis. In addition, once a year, the Board of Management discusses the effectiveness of this internal control framework with the Audit Committee and the full Supervisory Board. The establishment of our internal control and risk management systems is based on the identification of external and internal risk factors that could influence our operational and financial objectives and contains a system of monitoring, reporting and operational reviews. All material risk management activities have been discussed with the Audit Committee and the Supervisory Board. For more information on our risk management activities and our internal control and risk management systems, we refer to Risk – How we manage risk For a summary of our risk factors, we refer to Risk – Risk factors Summary Based on the outcome of the above-mentioned measures and to the best of its knowledge and belief, the Board of Management states that: 1. The above-mentioned measures provide reasonable assurance that the Financial Statements do not contain any material errors. 2. The Annual Report provides sufficient insights into any important deficiencies in the internal risk management and control systems as far as such important deficiencies occur. The Board of Management, Christophe D. Fouquet, President, Chief Executive Officer and Chair of the Board of Management Roger J.M. Dassen, Executive Vice President and Chief Financial Officer Frédéric J.M. Schneider-Maunoury, Executive Vice President and Chief Operations Officer Wayne R. Allan, Executive Vice President and Chief Strategic Sourcing & Procurement Officer James (Jim) P. Koonmen, Executive Vice President and Chief Customer Officer Veldhoven, March 5, 2025 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 165 Corporate governance Supervisory Board report Remuneration report Directors’ responsibility statement


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 166 General disclosures Environmental Social Governance Sustainability General disclosures 167 Basis for preparation 169 ESG sustainability governance 172 ESG sustainability at a glance 174 Our value chain overview 174 Impact, risk and opportunity management 181 Contributing to the UN's SDGs 182 Metrics 183 Reference table 190 Environmental 191 Energy efficiency and climate action 231 Circular economy 246 EU Taxonomy 255 Social 256 Attractive workplace for all 284 Responsible value chain 293 Innovation ecosystem 302 Valued partner in our communities 317 Governance 318 ESG integrated governance


 
General basis for preparation of the Sustainability statements The Sustainability statements in the Management Report have been drawn up in accordance with the sustainability reporting standards referred to in Article 29 of the EU Accounting Directive and with the specifications established pursuant to Article 8(4) of the EU Taxonomy Regulation. The Sustainability statements have been prepared on a consolidated basis, the scope of which is the same as for the Consolidated financial statements. No subsidiaries are exempt. Where relevant and available, our disclosures also include our value chain, both upstream and downstream. If information is sensitive and/or classified – because it relates to intellectual property, know-how or the results of innovation – it is omitted. Scope of policies Unless indicated otherwise, our policies apply to all directors, officers, managers and employees of ASML and the ASML group of companies in all locations worldwide. In joint ventures and strategic partnerships where we have a non- controlling interest, we make reasonable efforts to ensure consistency with a policy. Disclosures in relation to specific circumstances Time horizons Unless otherwise stated, the following time horizons – in accordance with European Sustainability Reporting Standards (ESRS) – are applicable for the disclosures made: • Short term: Within one year of the reporting date • Medium term: From two to five years • Long term: More than five years Where other time horizons provide better information, these are applied and detailed alongside the disclosure. Value chain estimation When metrics include upstream and/or downstream value chain data, it might be necessary to apply estimates using indirect sources like sector averages or other proxies. If indirect sources are applied, these are disclosed in the Methodology on metrics section, indicating their origin and level of accuracy using qualitative disclosure or outcome ranges. If it is possible to improve accuracy over time, we will detail our actions for doing so. Sources of estimation and outcome uncertainty When metrics are subject to a high level of measurement uncertainty, the source is disclosed in the Methodology on metrics section, together with the assumptions, approximations and judgments applied. Possible sources of uncertainty include (non-exhaustive): • Dependency on the outcome of future events • Measurement techniques • Availability and quality of value chain information • The information is forward-looking and therefore uncertain by definition • In the future, higher data quality may lead to different outcomes and a necessity to restate numbers or recalibrate targets One of these sources standalone or several combined could lead to conditions and dependencies that impact our ability to meet our commitments and targets. If currently known and relevant, we will explain these. The primary sources of estimation and outcome uncertainty in the Sustainability statements relate to resource inflows and outflows. The use of accumulated estimation techniques may lead to either under- or overstatement of total mass flows. Additionally the GHG emissions from Scope 3 Category 11 Use of sold Products are based on significant assumptions regarding the operational lifespan of our machines and their energy consumption over the years. Changes in preparation or presentation of sustainability information This is our first year reporting in accordance with ESRS. When, in subsequent years, material changes in the preparation and presentation of sustainability information occur compared to the previous reporting period(s), we will: • Explain the changes and their reasons, including why the replaced metric provides more useful information • Disclose revised comparative figures, unless it is impracticable to do so. When it is impracticable to adjust comparative information for one or more prior periods, this will be disclosed • Disclose the difference between the preceding period’s figure and the revised comparative Reporting errors in prior periods This is our first year reporting in accordance with ESRS. When, in subsequent years, a material error is identified in prior period(s), we intend to disclose (alongside the item): the nature; to the extent practicable, the correction; and, if not impracticable, the circumstances. Reporting on opportunities In addition, we report on material opportunities identified in our materiality assessment. We will indicate whether we currently pursue the opportunity as a part of our strategy and whether it is specific to our company or the semiconductor industry in general. Generally acknowledged methodologies for quantification of opportunities are still to be developed, and the number of assumptions required would be significant. As a result, we have not included quantitative measures of anticipated financial effects in our reporting. Updating disclosures about events after the end of the reporting period If any material information that provides evidence or insights about conditions existing at period end is received after the reporting period – but before the Management Report is approved for issuance – estimates and disclosures will be updated therefore. If the information received provides evidence or insights about material transactions, other events and conditions that arise after the end of the reporting period, we will provide narrative information indicating the existence, nature and potential consequences of the post-year events. To the best of our knowledge, no information has come to our attention after the reporting date that is not reflected in the Sustainability statements and that has a material impact on the Sustainability statements. Disclosures stemming from other legislation or generally accepted sustainability reporting pronouncements At times, in preparing this report, we have incorporated information from other recognized sustainability reporting standards and legislation to provide a comprehensive view of our sustainability performance. These references have been integrated into our reporting framework, offering a detailed and holistic view of our sustainability initiatives and performance. The relevant standards and/or legislation are stated alongside the disclosure. Coverage of ESRS disclosure requirements in the Sustainability statements and incorporation by reference In this report, we have incorporated several disclosure requirements and data points from ESRS, enhancing the depth and breadth of our reporting. Incorporation by reference helps facilitate the overall readability of our report. To aid in the lookup of the various ESRS requirements addressed outside the Sustainability statements, we have included a reference table. Furthermore, we have identified and listed all data points derived from other EU legislations as mentioned in Appendix B of ESRS 2, indicating their respective locations within the report and their materiality status. Read more in Sustainability statements – General disclosures – Reference table Identification of material sustainability matters We have identified the material sustainability matters for our company based on a double materiality assessment (DMA). Read more in Sustainability statements – General disclosures – Impact, risk and opportunity management STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 167 General disclosures Environmental Social Governance Basis for preparation


 
Policies adopted to manage material sustainability matters The various policies on our sustainability matters can be found in the theme sections (‘How we're managing’). Our policies are periodically reviewed and updated based on stakeholder engagement or other internal and external factors. To support the implementation of our policies, we make them available to stakeholders in a tailored way. Targets All targets we set are voluntary and have a worldwide scope, unless otherwise stated. For all targets and ambitions, conditions and dependencies exist in a general sense. Possible conditions and dependencies that could impact our ability to meet our targets and ambitions include (non-exhaustive): • Policy and regulatory change • Decarbonization trajectory in the economy • Macroeconomic trends • Financial factors • Technological developments • Data quality and methodology improvements Where targets are specifically subject to a specific dependency this is disclosed. Actions and resources in relation to material sustainability matters In the reporting year we have undertaken a series of key actions that are expected to yield significant outcomes in the near future. Scope of key actions Our actions are characterized by a broad scope encompassing various facets of our business operations. The implementation of key actions spans both our upstream and downstream value chain, but also our own operations. Unless otherwise stated, the scope for the key actions disclosed is worldwide. Remedial actions In our efforts, we remain cognizant of the potential for actual material adverse impacts. To this end, we have instituted a grievance mechanism to address the adverse impacts that have been notified. We undertake remedial actions, with the aim that we not only prevent harm but actively contribute to remediation. Resource allocation Our commitment to sustainability is evidenced by our resource allocation strategies. We have earmarked substantial (financial) resources to fuel our sustainability initiatives. In cases where it is not possible to quantify the resources for an action, we described the allocation in a qualitative way. Our future ability to implement actions depends on the availability and allocation of resources. Unless otherwise noted, we have only disclosed actions that are currently included in our short-, medium- and long term financial planning processes. Ongoing access to finance at an affordable cost of capital can be critical for the ultimate implementation of our actions. These include our adjustments to supply/demand changes and significant R&D costs. Further details on the individual actions and the progress made on each can be found in the individual theme sections. The costs attributed to full-time equivalents (FTEs) are based on an average per employee. This average is determined based on the Consolidated financial statements (total Personnel expenses divided by the Average number of payroll employees in FTEs). Metrics The metrics in this report are not validated by an external body. The Sustainability statements, which include the metrics, are subject to limited assurance by the assurance provider. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 168 General disclosures Environmental Social Governance Basis for preparation (continued)


 
Our environmental, social and governance (ESG) sustainability governance model Supervisory Board • Supervises, monitors and advises the Board of Management on ESG sustainability aspects • Identifies principal risks and opportunities Board of Management • Sets and oversees ESG sustainability strategy • Oversees execution ESG Sustainability team • Supports the Board of Management on ESG sustainability aspects a l Cross-functional table meetings Energy efficiency and climate action Circular economy Attractive workplace for all Responsible value chain Innovation ecosystem Valued partner in our communities ESG integrated governance Engaged stakeholders Transparent reporting ESG sustainability governance Our integrated ESG sustainability governance drives accountability and execution across the company. Our ESG sustainability governance model includes the Supervisory Board (SB), Board of Management (BoM), ESG Sustainability team (headed by the Head of ESG Sustainability) and experts from the business. The role of the administrative, management and supervisory bodies The BoM and SB are considered our administrative, management and supervisory bodies. The BoM and SB do not include workforce representatives. Read more about the composition, background, knowledge and experience relevant to our business, sustainability, product groups and geographic locations in Corporate governance Our BoM sets and oversees the execution of ESG sustainability aspects in our integrated business strategy, including the ESG sustainability-related impacts, risks and opportunities that arise from our DMA. It receives quarterly updates on ESG sustainability and provides guidance on relevant issues. Read more about our DMA process in Sustainability statements – General disclosures – Impact, risk and opportunity management The SB monitors and advises the BoM on ESG sustainability aspects that are relevant to the company. This includes addressing the principal risks and opportunities related to the strategy. The ESG Committee advises the SB in carrying out its governance and oversight responsibilities with regard to sustainability, environmental, social and governance matters (ESG sustainability matters). Read more in Corporate governance – Supervisory Board report – Supervisory Board committees – ESG Committee All responsibilities are reflected in Rules of Procedures, committee charters or other formal documents. Sustainability-related responsibilities Our Chief Executive Officer, Christophe Fouquet, is the BoM’s representative focusing on ESG sustainability. Our Head of ESG Sustainability is responsible, on behalf of the BoM, for preparing and monitoring the progress of the ESG sustainability strategy. The ESG Progress Review Meeting (EPRM), comprising various participants including the CEO and CFO, is the delegated body responsible for oversight of impacts, risks and opportunities. Meeting monthly, it reviews the progress of our ESG sustainability strategy, including related actions. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 169 General disclosures Environmental Social Governance ESG sustainability governance


 
The ESG Sustainability team supports the BoM in relation to ESG sustainability. Our ESG Sustainability team makes recommendations to our BoM regarding focus areas, targets, external commitments and disclosures in relation to ESG sustainability. Especially where there are changes in material topics, external inputs or new insights, those are included in the recommendations. This ensures insights and directives are effectively integrated into our sustainability practices. The ESG Sustainability team monitors risks and opportunities including climate-change- related matters, global trends, stakeholder expectations and best practices that could impact ASML’s short-, medium- and long term ESG sustainability objectives. Identifying and assessing the impact of ESG sustainability-related risks and opportunities are an integral part of our enterprise risk management (ERM) process and ensures we take a holistic approach to risk management. Read more in Strategic report – Performance and risk – Risk – How we manage risk Measuring the effectiveness of our ESG sustainability strategy To track and assess the effectiveness of our ESG sustainability strategy, we have established a set of key performance indicators (KPIs), parameters and associated targets or we are in the process of establishing these with the aim of covering all material topics. KPI and target development for the ESG sustainability strategy is a collaborative process involving our ESG Sustainability team, the business, and relevant internal and external stakeholders, and adopted by the BoM. The BoM also adopted the Climate Transition Plan. A subset of the KPIs and progress against targets is reviewed on a quarterly basis with the BoM. The full set of targets is subject to periodic review by business representatives to discuss progress and actions if necessary. Performance against key sustainability topics forms part of the long-term incentive plans of the BoM and senior management. There is an annual update of ESG-related long-term incentives (LTIs), which currently constitutes 20% of the total LTI score. Full detail on how ESG has been factored into the remuneration of BoM and SB is available in the Remuneration Report. Read more in Corporate governance - Remuneration report Industry cooperation We increasingly cooperate across the industry with the aim of reducing emissions across our value chain. In practice, this means working with our supplier base, customers and peers, both directly and in cross-industry collaboration platforms – such as the Semiconductor Climate Consortium (SCC) – to address energy efficiency and climate change issues within the industry, increase transparency and collaboration, and increase global access to renewable electricity. Read more in Sustainability statements – Environmental – Energy efficiency and climate action STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 170 General disclosures Environmental Social Governance ESG sustainability governance (continued)


 
Environmental and human rights due diligence process We have incorporated an environmental and human rights due diligence process – serving as a cornerstone in assessing the material impacts, risks and opportunities associated with our business operations. This process is not confined to our immediate operations but extends to both upstream and downstream elements of our value chain, encompassing our products, services and business relationships. It includes impacts we cause through our operations, those we have contributed to in business relationships, and those linked to our activities, products or services by a third party or other actors across our value chain. Due diligence is an ongoing practice through which we dynamically respond to and potentially instigate alterations in our business strategy, model and various operational contexts. Our due diligence process, which has been set up pursuant to international instruments such as the United Nations Guiding Principles on Business and Human Rights and the Organisation for Economic Co- operation and Development (OECD) Guidelines for Multinational Enterprises, is a comprehensive approach to identifying, preventing, mitigating and accounting for the actual and potential negative impacts on the environment and society linked to our business activities. This process is designed to allow us to prioritize actions based on the severity and likelihood of the impacts, thereby informing the assessment of material impacts. Read more in Strategic report – Corporate conduct – Respecting human rights The core elements of our environmental and human rights due diligence process are described in this Annual Report: • Embedding due diligence in governance, strategy and business model • Engaging with affected stakeholders • Identifying and assessing adverse impacts • Taking action to address adverse impacts • Tracking effectiveness of efforts and communicating Read more in Sustainability statements – Social – Responsible value chain We have a number of policies that further define commitments, principles and governance for specific aspects of environmental and human rights due diligence. They are communicated to employees and other workers in employee onboarding, via training sessions and the intranet. Policies are made available externally via our website (free of cost). Policies, or key aspects of policies, are communicated to third parties via contracts, the ASML Supplier Handbook and the Responsible Business Alliance (RBA) program. Risk management and internal controls over sustainability reporting In this section of the report, we outline the processes and methodologies used to govern our approach to sustainability reporting, ensuring accuracy and reliability in the information we have included. Our sustainability reporting related risks are part of ASML’s ERM framework and processes, which entail a systematic approach to identify, manage and monitor risks. This includes an overview of the risks (the Risk Universe) that may have a material adverse impact on our ability to achieve our business objectives. This approach enables us to leverage on existing controls and include new controls related to sustainability reporting in our risk and control framework. We use both top- down (compliant reporting with applicable sustainability disclosure requirements) and bottom-up (accuracy of the content and data, accuracy of estimation results, availability and timing of data) approaches to help to ensure completeness of the risk and control framework for sustainability reporting. This risk and control framework is prepared in 2024 and is continuously evolving. It will be further expanded and updated in the coming years due to test results, internal and external developments on sustainability as well for local jurisdictions. The level of maturity of the internal controls over sustainability reporting will grow in the coming years. The sustainability reporting risk and control framework is reviewed annually, or for major changes that impact sustainability reporting during the year. Read more in Strategic report – Performance and risk – Risk – How we manage risk Risk assessment for sustainability reporting For our sustainability reporting we perform a risk assessment in accordance with ASML’s ERM risk prioritization methodology. This risk assessment considers risks such as compliant reporting in accordance with applicable sustainability disclosure requirements, the completeness and accuracy of the content and data, the accuracy of estimation results and the timing of availability of the data. Managing sustainability reporting risks For the identified sustainability reporting risks as described above, we define mitigation strategies to avoid, accept, transfer and/or reduce the related risk. The mitigating measures and controls are included in our sustainability reporting risk and control framework. Supporting sustainability reporting governance model In 2024 we implemented our sustainability reporting in accordance with new EU regulations, the Corporate Sustainability Reporting Directive (CSRD) and ESRS. The CSRD defines the overarching framework for sustainability reporting, while ESRS provide detailed reporting standards to support CSRD compliance. During the implementation we monitored the risks, project progress and findings related to the execution via a dedicated project with involvement of our BoM, Corporate Chief Accountant and Head of ESG Sustainability. The SB was informed regularly about the project execution including the risks and progress. For 2025 we aim to continue with the sustainability reporting governance model, incorporated in the company risk management governance structure as explained in our Risk management section. Findings of the risk assessment and controls related to sustainability reporting will be assessed and discussed via this governance structure, which includes: • Board of Management • Compliance, Ethics, Security & Risk Committee • Disclosure Committee • Internal Control Committee • Risk and Control Owners Read more in Strategic report – Performance and risk – Risk – How we manage risk STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 171 General disclosures Environmental Social Governance ESG sustainability governance (continued)


 
We are focused on creating long-term value for all our stakeholders and shaping a sustainable future. Our ESG sustainability strategy is based on the topics that are significant to our organization. We use input from our stakeholders to identify where we have the most significant impact on the environment and people, including their human rights, along with the associated risks and opportunities. By annually updating our ESG sustainability strategy and actively managing the most material sustainability topics, we stay focused on the most important ESG impacts and risks and improve our resiliency to those risks while being able to effectively respond to the opportunities we see. Our contribution to a digital, sustainable future Increasing digitalization can pave the way to a society that is more environmentally and socially sustainable for everyone. The large-scale digitalization required to achieve a sustainable future relies on the semiconductor industry’s ability to produce faster, more powerful microchips that are energy efficient and affordable. Together with our partners, we provide the patterning solutions that can help make this possible. But the benefits our industry brings come at a cost, including energy and resource use. We are committed to innovating and investing to enable our company and the industry as a whole to reduce its negative impacts. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 172 General disclosures Environmental Social Governance ESG sustainability at a glance Our vision is to enable groundbreaking technology to solve some of humanity’s toughest challenges 1 Deepen customer trust 2 Extend our technology and holistic product leadership 3 Strengthen ecosystem relationships Create an exceptional workplace4 5 Drive operational excellence 6 Deliver on our ESG sustainability mission and responsibilities Environmental Social Governance Read more on page 190 > Read more on page 255 > Read more on page 317 > We want to help expand computing power while minimizing waste, energy use and emissions. Our focus on energy efficiency and climate action, and on the circular economy, is fundamental to achieving this goal. We want to deliver responsible growth that benefits all our stakeholders – providing an attractive workplace for all, building a responsible value chain, fueling innovation in our ecosystem and being a valued partner to communities. We aim to act on our responsibilities and anchor them across our entire business through integrated governance, engaged stakeholders and transparent reporting. Energy efficiency and climate action Circular economy Attractive workplace for all Responsible value chain ESG integrated governance Engaged stakeholders page 231 > page 256 > page 284 > page 318 > page 44 > page 191 > Innovation ecosystem Valued partner in our communities Transparent reporting page 293 > page 317 > page 302 > Our ESG sustainability strategy is tracked by targets which are detailed across the theme pages O ur ke y th em es O ur co m m itm en ts O ur b us in es s st ra te g y


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 173 General disclosures Environmental Social Governance Our value chain overview The overview gives an impression of activities, resources and relationships related to our business model and the external environment in which we operate.


 
Why it matters ESG sustainability is important to us and our business, and ESG topics have become increasingly important to our customers, employees, suppliers, shareholders and society. We aim to respond to the continuously evolving needs of our stakeholders with our ESG sustainability strategy. How we manage our impact When we act sustainably as a business, it benefits everyone. We want to grow our company and increase our positive impact while minimizing our negative impacts on the environment and people. We do this by focusing on the ESG sustainability topics where we can have the biggest impact. For these so-called material topics, we define policies, targets and actions, and disclose progress against them in our ESG sustainability reporting. Our first DMA was conducted in 2023 as input for our ESG sustainability strategy. Double materiality reflects: (1) our most significant impacts to the environment and people; and (2) the most significant sustainability-related risks and opportunities affecting our value drivers, competitive position and long-term shareholder value creation. Prior to 2023, we conducted an impact materiality assessment. Our ESG sustainability strategy comprises short-term targets toward 2025, medium- term targets toward 2030 and long-term targets toward 2040, to manage our material impacts, risks and opportunities. The outcomes of our DMA are also integrated in our risk processes, supporting the mitigation of material risks. We executed the DMA by following the seven-step approach, explained on the next page. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 174 General disclosures Environmental Social Governance Impact, risk and opportunity management Our material ESG sustainability topics


 
How we identified our material topics Step 1: Understanding context Step 2: Determining potentially relevant sustainability matters Step 3: Identifying impacts, risks and opportunities Step 4: Assessing the materiality of impacts Step 5: Assessing the materiality of risks and opportunities Step 6: Deciding on thresholds for materiality Step 7: Assessing strategic implications Stakeholders that are or could be affected by ASML, and stakeholders that affect or could affect ASML, are central to the materiality assessment process. To understand the topics of interest of our five stakeholder groups – customers, employees, suppliers (including contractors), shareholders and society – and how their interests may be impacted, we continuously engage with them. This includes regular meetings, surveys, supplier days and investor dialogue. In addition, we take into account business relationships, relevant legal and regulatory developments, industry studies, knowledge from internal and external subject matter experts and ESG benchmarks. These support the identification of impacts, risks and opportunities that are considered in the materiality assessment – as well as the collection of insights for improvement actions and feedback on strategy, performance and progress. We monitor the sustainability context of our activities and business relationships by reviewing relevant sources of information about our industry and peers, international standards and (upcoming) legislation, media and selected ESG rating agencies. Based on these analyses, insights from stakeholder engagement, and internal impact and risk assessments, an initial list of potential material sustainability matters is drafted. We define impacts, risks and opportunities related to each of the potential material sustainability matters identified. Impacts include positive and negative, actual and potential, and short-, medium- and long-term impacts from our activities on the environment, society and the economy (based on our strategy and business model), our business relations, geographies and across our value chain. To identify risks and opportunities related to the potential material sustainability matters, we aligned with our ASML risk universe and engaged with internal stakeholders and experts. Risks and opportunities relate to our ability to continue to use or obtain the resources needed in our business processes, assets and other relevant activities across our value chain, and our ability to rely on relationships needed in business processes on acceptable terms. They may pertain to financial capital, manufactured capital, intellectual capital, human capital, social and relationship capital, and natural capital. In the identification process of material climate-related impacts, we considered our current and locked-in greenhouse gas (GHG) emissions as well as the potential future GHG emissions in our own operations and across the value chain. For the identification of material climate-related risks and opportunities, we considered the outcomes of our climate resilience analysis. We assess the materiality of negative impacts based on scale, scope, irremediable character (also referred to as severity) and, in case of potential impacts, likelihood. Similarly, the materiality of positive impacts is assessed based on scale, scope and likelihood. For potential negative human-rights-related impacts, severity takes precedence over likelihood. The assessment of the impacts has been done by the ESG Sustainability team and has been reviewed and validated with relevant internal stakeholders, finance and risk departments, before finalization and adaptation by the BoM. We assess the anticipated financial effect of each risk and opportunity based on magnitude and likelihood. Magnitude considers effects on the ability to continue to use resources, including access, availability and prices, and our ability to continue to rely on relationships – taking into account reputational effects and potential actions by stakeholders in the short, medium and long term. Likelihood reflects the probability that a risk or opportunity event will occur. In this DMA only sustainability-related risks and opportunities have been taken into consideration. The assessment of the risks and opportunities has been done by the ESG Sustainability team and has been reviewed and validated with relevant internal stakeholders, finance and risk departments, before finalization and adaptation by the BoM. The assessment results in a materiality ranging score (low, medium or high) for each impact, risk and opportunity, and we use these scores to apply thresholds for materiality. Thresholds are determined separately for negative impacts, positive impacts, risks and opportunities. Only impacts, risks and opportunities with an assessed score of medium or high are considered to be material. To provide an overview of material sustainability matters, impacts, risks and opportunities are clustered into material sustainability matters. Sustainability matters may be material from the impact perspective, the financial perspective or both. The outcomes of the materiality assessment have been presented to and approved by our BoM and serve as the basis for the ESG sustainability strategy. Material ESG sustainability matters are linked to themes in the ESG sustainability strategy and the relevant value drivers for each. If new material matters are identified, they are added to the ESG sustainability strategy. If new risks are identified, they are also included in our risk inventory and managed in line with our ERM framework. We define measures to manage the related impacts, risks and opportunities for each material ESG sustainability matter, including policies, action plans, metrics and targets. All are disclosed under the respective environmental, social and governance sections – where we describe our policies on how we manage the impacts, risks and opportunities, which actions we take to address them, and the related targets and metrics. Read more in Strategic report – Our business – Engaged stakeholders STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 175 General disclosures Environmental Social Governance Impact, risk and opportunity management (continued)


 
Climate change Own operations Energy use and GHG emissions from manufacturing and buildings (scope 1 and 2) Own operations Physical climate change risks to ASML (Climate resilience analysis) Read more in Energy efficiency and climate action Own operations Impact on grid and energy availability through our manufacturing and buildings (scope 1 and 2) Customers Physical climate change risks to our customers (Climate resilience analysis) Customers Energy use and GHG emissions from product use (scope 3) Downstream beyond customers Increased market demand for low-carbon technologies (Climate resilience analysis) Upstream and suppliers Energy use and GHG emissions from purchased goods, services and logistics emissions (scope 3) Across value chain Technology risk due to transition to low-carbon technologies (Climate resilience analysis) Own operations Energy use and GHG emissions from business travel and commuting (scope 3) Across value chain Climate-related regulation and carbon taxes (Climate resilience analysis) Downstream beyond customers Energy use and GHG emissions from use of our customers' products (microchips) in various applications (ICT and society)1 Own operations Damage to our brand and reputation (Climate resilience analysis) Downstream beyond customers Reduction of energy use and GHG emissions from use of our customers' products (microchips) in various applications (ICT and society)1 Read more on page 191 > ESRS topics Value chain Our impacts Time frame Impact Value chain Our risks and opportunities Time frame Direction How we are responding STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 176 General disclosures Environmental Social Governance Impact, risk and opportunity management (continued) The table below shows the material impacts, risks and opportunities included in the definition of each topic, whether these impacts are positive or negative, actual or potential, and where in the value chain they occur Key Environmental topics Positive, actual Short term Social topics Positive, potential Medium term Governance topics Negative, actual Long term Negative, potential


 
Resource use and circular economy Own operations and suppliers Resource inflows in the production process (Systems, parts and tools including packaging and transport tools)2 Own operations and suppliers Disruption to the supply chain caused by unavailability of materials and parts (Systems, parts and tools including packaging and transport tools)2 Read more in Circular economy Own operations and customers Impact of our resource outflows at customers’ sites (Systems, parts and tools including packaging and transport tools)2 Own operations and customers Loss of market share and dissatisfied customers through not meeting agreed circular economy standards (Systems, parts and tools including packaging and transport tools)2 Own operations Waste produced from our operations (Systems, parts and tools including packaging and transport tools, non-product-related (NPR) waste and Real estate) Own operations and customers Inability to meet changing customer demands for more circular products (Systems, parts and tools including packaging and transport tools) Downstream beyond customers Use of our customers' products enabling the transition to a circular economy in various applications Downstream beyond customers Use of our customers' products hindering the transition to a circular economy in various applications Read more on page 231> Own workforce Own operations Impact on employees through fair labor conditions (Labor conditions) Own operations Failure to provide fair labor conditions could result in unavailability of personnel, disengaged employees, retention and recruitment challenges (Talent attraction, employee engagement and retention, and Labor conditions) Read more in Attractive workplace for all Own operations Impact on employees by facilitating professional growth, knowledge and skills development, contributing to continued employability (Learning and development) Own operations Failure to foster an equal opportunity environment could result in unavailability of personnel, disengaged employees, and retention and recruitment challenges (Talent attraction, employee engagement and retention, and Diversity and inclusion) Own operations Impact on employees by providing equal treatment and opportunities for all (Diversity and inclusion) Own operations Failure to comply with health- and safety-related regulations or implement effective health and safety practices could result in liabilities and reputational risk (Occupational health and safety) Own operations Failure to effectively manage employees' health and well-being could impact their work–life balance and mental health (Well- being, Occupational health and safety) Own operations Failure to comply with labor law could lead to sanctions, financial loss or reputational damage (Labor conditions) Own operations Failure to manage occupational health and safety – for example when employees are working with hazardous substances and systems (Occupational health and safety) Read more on page 256> ESRS topics Value chain Our impacts Time frame Impact Value chain Our risks and opportunities Time frame Direction How we are responding STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 177 General disclosures Environmental Social Governance Impact, risk and opportunity management (continued) Key Environmental topics Positive, actual Short term Social topics Positive, potential Medium term Governance topics Negative, actual Long term Negative, potential


 
Workers in the value chain Upstream and suppliers Inadequate or poor working conditions in our supply chain (Responsible supply chain) Upstream and suppliers Failure to comply with rules and regulations regarding conflict minerals (Responsible supply chain) Read more in Responsible value chain Upstream and suppliers Lack of access to equal opportunities across our value chain (Responsible supply chain) Upstream and suppliers Disruption in the supply chain due to unavailability of workers (Responsible supply chain) Upstream and suppliers Forced and child labor in conflict areas (Responsible supply chain) Customers Impacts on human rights considering risks inherent to the technology industry (Responsible product use) Read more on page 284> ASML specific topics Downstream beyond customers Improved quality of life through access to ICT and digital services (Responsible product use) Downstream beyond customers Increased demand for microchip-enabled tools and solutions that can help society make progress and address global challenges (Responsible product use) Read more in Responsible value chain Downstream beyond customers Impacts from potential misuse of technology (Responsible product use) Read more on page 284 > Downstream beyond customers Society benefiting from support for ESG-focused research, startups, scaleups, platforms and collaboration (ESG innovation) Read more in Innovation ecosystem Read more on page 293 > Affected communities Own operations Pressure on availability of affordable housing in Veldhoven due to demand from employees (Attractive communities) Own operations Failure to create an attractive community for future employees could impact our ability to attract talent (Attractive communities, Inclusive communities) Read more in Valued partner in our communities Own operations Car congestion and pressure on regional infrastructure due to employee commuting (Attractive communities) Own operations Addressing adverse reactions from local communities could impact our ability to effectively manage our business (Attractive communities) Own operations Pressure on social cohesion in Veldhoven local community due to a more diverse local population including ASML expats (Attractive communities, Inclusive communities) Own operations Adverse reactions from local communities could impact our ability to grow in Veldhoven (Attractive communities) Own operations Pressure on Veldhoven's regional talent pipeline impacting local companies due to ASML's demand for talent (Inclusive communities) Own operations Failure to create an attractive community for future talent could impact our ability to effectively manage our local supply chain output (Attractive communities, Inclusive communities) Read more on page 302> ESRS topics Value chain Our impacts Time frame Impact Value chain Our risks and opportunities Time frame Direction How we are responding STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 178 General disclosures Environmental Social Governance Impact, risk and opportunity management (continued) Key Environmental topics Positive, actual Short term Social topics Positive, potential Medium term Governance topics Negative, actual Long term Negative, potential


 
Business  conduct Upstream and suppliers Impact on people, the environment and the supply chain through the management of relationships with suppliers (Responsible business conduct and compliance (covering compliance with Business ethics and Code of Conduct and Anti-bribery and anti-corruption)) Own operations Failure to comply with ASML's purpose, vision, mission and values (Purpose, vision, mission and values) Read more in ESG integrated governance Across entire value chain Failure to comply with regulations due to increasing complexity as we expand into more countries (Responsible business conduct and compliance (covering compliance with Business ethics and Code of Conduct and Anti-bribery and anti-corruption)) Upstream and suppliers Failure to comply with laws and regulations for supply chain due diligence (Responsible business conduct and compliance (covering compliance with Business ethics and Code of Conduct and Anti-bribery and anti-corruption)) Read more on page 318 > Customers Failure to engage customers on environmental and social topics (ESG risk management) Read more in Strategic report – Performance and risk – Risk – How we manage risk on page 61 > Across entire value chain Failure to comply with data privacy regulations or breaches of data privacy (Responsible business conduct and compliance (covering compliance with Business ethics and Code of Conduct and Anti-bribery and anti-corruption)) Read more on page 318 > ESRS topics Value chain Our impacts Time frame Impact Value chain Our risks and opportunities Time frame Direction How we are responding 1. Indirectly, we track the effectiveness of our related policies through the processes we have in place to make our machines more (energy) efficient and reduce the energy use per wafer pass. In collaboration with the industry, we aim to have a better understanding of the GHG emissions caused by the use of our customers’ products and, where possible, we aim to contribute to reducing the negative environmental impacts related to the use of these products. 2. These impacts and risks are currently not covered by targets. Effectiveness of policies and actions in relation to both risks are tracked by ASML. These risks are covered within ASML's risk universe where specified risks are included related to the dissatisfaction of customers and inability to develop and deploy products in a timely manner. Policies and actions are therefore tracked through the ASML ERM framework. No additional qualitative and/or quantitative indicators have been used to monitor progress related to these impacts, risks and opportunities (IROs). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 179 General disclosures Environmental Social Governance Impact, risk and opportunity management (continued) Key Environmental topics Positive, actual Short term Social topics Positive, potential Medium term Governance topics Negative, actual Long term Negative, potential


 
Why it matters The combination of climate change and increased water demand means droughts are becoming more extreme and unpredictable, with water becoming a scarce resource in some locations. In comparison to the semiconductor industry as a whole, the water usage in our own operations is relatively small. When printing patterns on wafers through lithography, our systems at our customers' sites use relatively small amounts of water compared to other steps in the total semiconductor manufacturing process, such as chemical mechanical polishing and wafer cleaning. Our water-related risk is therefore low compared to that of our customers. How we’re managing our impact Despite our relatively low level of water usage, as a responsible business we promote efficient water use and recycling across our sites and processes. Read more in our TCFD Report: Climate-related disclosure, available at asml.com In our factories, we use water in three key ways. Firstly, to remove heat loads and maintain the systems at a constant temperature – internal cooling circuits are all designed as ‘closed-loop’ (recycling) systems to limit water consumption. Secondly, these heat loads are eventually removed in cooling towers using evaporation of lower-quality water. And, finally, deep ultraviolet (DUV) systems use ultrapure water – which is currently only partially recycled – in the immersion hood. Our water withdrawal in 2024 was 1,432,410 m3. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 180 General disclosures Environmental Social Governance Water usage in the semiconductor industry Metric Unit 2024 Total water withdrawal in 1,000 m3 1,432 Total ultrapure water withdrawal in 1,000 m3 104.94 Total water recycled and reused in % 0.9% Water intensity in m3/€m revenue 51


 
The United Nations’ (UN) 2030 Agenda for Sustainable Development provides a shared blueprint for peace and prosperity for people and planet, now and in the future. Why it matters The UN’s Sustainable Development Goals (SDGs) represent the global sustainable development agenda and inform public policy. As a responsible business, we support the SDGs – and it is critical that we accelerate action to play our part. Our ESG sustainability strategy focuses on the six SDGs where we can contribute most. We are also a signatory to the UN Global Compact. How we’re managing our contribution We contribute to SDG 4 (Quality education) by developing our people and promoting lifelong learning opportunities for the communities where we operate. SDG 8 (Decent work and economic growth) is covered by our commitment to providing an attractive workplace promoting sustained, inclusive growth, full and productive employment, and decent work for all throughout our supply chain, including protecting labor rights and promoting a safe and secure working environment for everyone. Our contribution to SDG 9 (Industry, innovation and infrastructure) is demonstrated by our work to build a resilient ecosystem that fosters innovation while promoting inclusive and sustainable industrialization. We contribute to SDG 11 (Sustainable cities and communities) by working with our community outreach partners to make cities and human settlements inclusive, safe, resilient and sustainable. SDG 12 (Responsible consumption and production) is covered via our circular economy work and our work to achieve environmentally sound management of chemicals and all wastes throughout their life cycles, in accordance with agreed international frameworks. We contribute to SDG 13 (Climate action) by promoting energy efficiency and climate action across our value chain. SDG 4 SDG 11 Quality education Sustainable cities and communities Ensure inclusive and equitable quality education and promote lifelong learning opportunities for all Make cities and human settlements inclusive, safe, resilient and sustainable Our contribution Our contribution – Attractive workplace for all Read more on page 256 > – Valued partner in our communities Read more on page 302 > – Valued partner in our communities Read more on page 302 > SDG 8 SDG 12 Decent work and economic growth Responsible consumption and production Promote sustained, inclusive and sustainable economic growth, full and productive employment and decent work for all Ensure sustainable consumption and production patterns Our contribution Our contribution – Attractive workplace for all Read more on page 256 > – Circular economy Read more on page 231 > – Responsible value chain Read more on page 284 > – Responsible value chain Read more on page 284 > SDG 9 SDG 13 Industry, innovation and infrastructure Climate action Build resilient infrastructure, promote inclusive and sustainable industrialization, and foster innovation Take urgent action to combat climate change and its impacts by regulating emissions and promoting developments in renewable energy Our contribution Our contribution – Innovation ecosystem Read more on page 293 > – Energy efficiency and climate action Read more on page 191 > STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 181 General disclosures Environmental Social Governance Contributing to the UN's Sustainable Developments Goals


 
Metrics in relation to material sustainability matters In our ongoing commitment to fostering a sustainable future, we are steadfast in our dedication to transparency and accountability. To gauge the effectiveness of our strategies concerning material sustainability matters, we use various metrics, some delineated in the ESRS and others identified based on our specific entity characteristic. We remain committed to refining and enhancing our metrics – to enable us to continually offer the most accurate and pertinent information to our stakeholders. Currency presentation In instances where the metrics necessitate a representation in currency, we adhere to the Euro (EUR), the presentation currency utilized in our Financial statements – ensuring consistency and coherence across all financial and sustainability disclosures. Tracking effectiveness of policies and actions through targets Target details To focus our sustainability matters, we have set targets characterized by a defined level, scope, baseline value and period. They are, where available and practically applicable, grounded in scientific evidence and align with international guidelines where possible. Methodologies and assumptions Targets are based on historical data trends and industry benchmarks, and are aligned, where possible, with recognized sustainability standards and legislation. Stakeholder engagement We use input from stakeholders in defining our material topics and setting targets. Through our ongoing engagement, we discuss our strategy and targets with our stakeholders. Changes in targets and metrics Any adjustments in targets or metrics are thoroughly documented, including the rationale behind the changes, to ensure transparency and maintain the integrity of our sustainability reporting. No measurable target For some sustainability matters it has not proven possible to develop a target that meets all requirements to provide the qualitative characteristics of information. Despite the absence of a set of measurable, quantitative targets, we remain committed to tracking the effectiveness of our policies and actions concerning material sustainability-related impacts, risks and opportunities. In these cases, our tracking processes are characterized by a defined level of ambition, using both qualitative and quantitative indicators to evaluate progress from a base period. If available, we use external information to assess the effectiveness of our processes. We are evaluating the feasibility of setting such targets in the near future, actively considering the establishment of measurable, outcome-oriented targets – and anticipate setting these within the next two years. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 182 General disclosures Environmental Social Governance Metrics


 
The reference table presents the requirements of the ESRS. It indicates where you can find the specific ESRS disclosure requirement, as well as where we have used incorporation by reference or applied for a phase-in provision. In addition, it includes our list of data points that derive from other EU legislation. ESRS 2 General disclosures BP-1 – General basis for preparation of Sustainability statements • Sustainability statements – General disclosures – Basis for preparation • Sustainability statements – General disclosures – Impact, risk and opportunity management ESRS 2 General disclosures BP-2 – Disclosures in relation to specific circumstances • Sustainability statements – General disclosures – Basis for preparation ESRS 2 General disclosures GOV-1 – The role of the administrative, management and supervisory bodies • Sustainability statements – General disclosures – ESG sustainability governance • Corporate governance – Corporate governance – Supervisory Board • Corporate governance – Corporate governance – Board of Management • Corporate governance – Corporate governance – Other Board-related matters Includes DR21d Board's gender diversity ratio and DR21e Percentage of independent board members ESRS 2 General disclosures GOV-2 – Information provided to and sustainability matters addressed by the undertaking’s administrative, management and supervisory bodies • Sustainability statements – General disclosures – ESG sustainability governance • Corporate governance – Corporate governance – Supervisory Board ESRS 2 General disclosures GOV-3 – Integration of sustainability-related performance in incentive schemes • Sustainability statements – General disclosures – ESG sustainability governance • Corporate governance – Corporate governance – Supervisory Board ESRS 2 General disclosures GOV-4 – Statement on due diligence • Sustainability statements – General disclosures – ESG sustainability governance Includes DR30 Statement on due diligence ESRS 2 General disclosures GOV-5 – Risk management and internal controls over sustainability reporting • Sustainability statements – General disclosures – ESG sustainability governance ESRS 2 General disclosures SBM-1 – Strategy, business model and value chain • Strategic report – Our business – Our products and services • Strategic report – Our business – Supporting our customers • Strategic report – Our business – Our business strategy • Strategic report – Our business – Driving innovation • Strategic report – Our business – Our business model • Sustainability statements – Social – Attractive workplace for all • Sustainability statements – Social – Responsible value chain • Sustainability statements – General disclosures – Contributing to the UN's Sustainability Development Goals DR40di Undertaking is active in fossil fuel (coal, oil and gas) sector, DR40dii Undertaking is active in chemicals production, DR40diii Undertaking is active in controversial weapons and DR40div Undertaking is active in cultivation and production of tobacco not applicable ESRS 2 General disclosures SBM-2 – Interests and views of stakeholders • Strategic report – Our business – Engaged stakeholders • Strategic report – Our business – Our business strategy • Strategic report – Our business – Our marketplace ESRS 2 General disclosures SBM-3 – Material impacts, risks and opportunities, and their interaction with strategy and business model • Sustainability statements – General disclosures – Impact, risk and opportunity management Phase-in provision applied for DR48e and AR18 (anticipated financial effects) ESRS 2 General disclosures IRO-1 – Description of the process to identify and assess material impacts, risks and opportunities • Sustainability statements – General disclosures – Impact, risk and opportunity management ESRS 2 General disclosures IRO-2 – Disclosure requirements in ESRS covered by the undertaking’s sustainability statement • Sustainability statements – General disclosures – Impact, risk and opportunity management ESRS number Section title Related ESRS disclosure requirements Reference Explanation STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 183 General disclosures Environmental Social Governance Reference table


 
ESRS 2 General disclosures MDR-P – Policies adopted to manage material sustainability matters • Sustainability statements – Environmental – Energy efficiency and climate action – How we’re managing • Sustainability statements – Environmental – Circular economy – How we’re managing • Sustainability statements – Social – Attractive workplace for all – How we’re managing • Sustainability statements – Social – Responsible value chain – How we’re managing • Sustainability statements – Social – Innovation ecosystem – How we’re managing • Sustainability statements – Social – Valued partner in our communities – How we’re managing • Sustainability statements – Governance – ESG integrated governance – How we’re managing ESRS 2 General disclosures MDR-A – Actions and resources in relation to material sustainability matters • Sustainability statements – Environmental – Energy efficiency and climate action – Our actions and resources • Sustainability statements – Environmental – Circular economy – Our actions and resources • Sustainability statements – Social – Attractive workplace for all – Our actions and resources • Sustainability statements – Social – Responsible value chain – Our actions and resources • Sustainability statements – Social – Innovation ecosystem – Our actions and resources • Sustainability statements – Social – Valued partner in our communities – Our actions and resources • Sustainability statements – Governance – ESG integrated governance – Our actions and resources ESRS 2 General disclosures MDR-M – Metrics in relation to material sustainability matters • Sustainability statements – Environmental – Energy efficiency and climate action – Targets and performance • Sustainability statements – Environmental – Circular economy – Targets and performance • Sustainability statements – Social – Attractive workplace for all – Targets and performance • Sustainability statements – Social – Responsible value chain – Targets and performance • Sustainability statements – Social – Innovation ecosystem – Targets and performance • Sustainability statements – Social – Valued partner in our communities – Targets and performance • Sustainability statements – Governance – ESG integrated governance – Targets and performance ESRS number Section title Related ESRS disclosure requirements Reference Explanation STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 184 General disclosures Environmental Social Governance Reference table (continued)


 
ESRS 2 General disclosures MDR-T – Tracking effectiveness of policies and actions through targets • Sustainability statements – Environmental – Energy efficiency and climate action – Targets and performance • Sustainability statements – Environmental – Circular economy – Targets and performance • Sustainability statements – Social – Attractive workplace for all – Targets and performance • Sustainability statements – Social – Responsible value chain – Targets and performance • Sustainability statements – Social – Innovation ecosystem – Targets and performance • Sustainability statements – Social – Valued partner in our communities – Targets and performance • Sustainability statements – Governance – ESG integrated governance – Targets and performance ESRS E1 Climate change GOV-3 – Integration of sustainability-related performance in incentive schemes • Corporate governance – Remuneration report – Board of Management remuneration • Sustainability statements – Environmental – Energy efficiency and climate action – Targets and performance ESRS E1 Climate change E1-1 – Transition plan for climate change mitigation • Strategic report – Performance and risk – Risk – Risk factors – 5. Operations • Sustainability statements – Environmental – Energy efficiency and climate action – Climate Transition Plan Includes DR14 Disclosure of transition plan for climate change mitigation; DR16g Undertaking is not excluded from EU Paris-aligned benchmarks ESRS E1 Climate change SBM-3 – Material impacts, risks and opportunities and their interaction with strategy and business model • Sustainability statements – Environmental – Energy efficiency and climate action – Climate resilience analysis ESRS E1 Climate change IRO-1 – Description of the processes to identify and assess material climate-related impacts, risks and opportunities • Sustainability statements – General disclosures – Impact, risk and opportunity management • Sustainability statements – Environmental – Energy efficiency and climate action – Climate resilience analysis ESRS E1 Climate change E1-2 – Policies related to climate change mitigation and adaptation • Sustainability statements – Environmental – Energy efficiency and climate action – How we’re managing • Strategic report – Performance and risk – Risk – Risk factors – 5. Operations ESRS E1 Climate change E1-3 – Actions and resources in relation to climate change policies • Sustainability statements – Environmental – Energy efficiency and climate action – Our actions and resources ESRS E1 Climate change E1-4 – Targets related to climate change mitigation and adaptation • Sustainability statements – Environmental – Energy efficiency and climate action – Climate resilience analysis • Sustainability statements – Environmental – Energy efficiency and climate action – Targets and performance Includes DR34 GHG emissions reduction targets ESRS E1 Climate change E1-5 – Energy consumption and mix • Sustainability statements – Environmental – Energy efficiency and climate action – Metrics table and Additional disclosures Includes DR37, DR38, DR40, DR41, DR42, DR43 Energy consumption ESRS E1 Climate change E1-6 – Gross Scopes 1, 2, 3 and Total GHG emissions • Sustainability statements – Environmental – Energy efficiency and climate action – Metrics table and Additional disclosures Includes DR44 Gross Scope 1, 2, 3 and Total GHG emissions and DR 53–55 GHG emissions intensity ESRS number Section title Related ESRS disclosure requirements Reference Explanation STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 185 General disclosures Environmental Social Governance Reference table (continued)


 
ESRS E1 Climate change E1-7 – GHG removals and GHG mitigation projects financed through carbon credits • Sustainability statements – Environmental – Energy efficiency and climate action – How we’re managing ESRS E1 Climate change E1-8 – Internal carbon pricing • Sustainability statements – Environmental – Energy efficiency and climate action – How we’re managing ESRS E1 Climate change E1-9 – Anticipated financial effects from material physical and transition risks and potential climate-related opportunities Not included Phase-in provision applied ESRS E2 Pollution Not a material topic based on the outcome of our DMA ESRS E3 Water and marine resources Not a material topic based on the outcome of our DMA ESRS E4 Biodiversity and ecosystems Not a material topic based on the outcome of our DMA ESRS E5 Resource use and circular economy IRO-1 – Description of the processes to identify and assess material resource use and circular economy-related impacts, risks and opportunities Sustainability statements – General disclosures – Impact, risk and opportunity management ESRS E5 Resource use and circular economy E5-1 – Policies related to resource use and circular economy • Sustainability statements – Environmental – Circular economy – How we're managing ESRS E5 Resource use and circular economy E5-2 – Actions and resources related to resource use and circular economy • Sustainability statements – Environmental – Circular economy – Our actions and resources ESRS E5 Resource use and circular economy E5-3 – Targets related to resource use and circular economy • Sustainability statements – Environmental – Circular economy – Targets and performance ESRS E5 Resource use and circular economy E5-4 – Resource inflows • Sustainability statements – Environmental – Circular economy – Metrics table and Additional disclosures ESRS E5 Resource use and circular economy E5-5 – Resource outflows • Sustainability statements – Environmental – Circular economy – Metrics table and Additional disclosures Includes DR37d Non-recycled waste and DR39 Hazardous waste and radioactive waste ESRS E5 Resource use and circular economy E5-6 – Anticipated financial effects from resource use and circular economy-related impacts, risks and opportunities Not included Phase-in provision applied ESRS S1 Own workforce SBM-2 – Interests and views of stakeholders • Sustainability statements – General disclosures – Impact, risk and opportunity management ESRS S1 Own workforce SBM-3 – Material impacts, risks and opportunities and their interaction with strategy and business model • Sustainability statements – General disclosures – Impact, risk and opportunity management Includes DR14f Risk of incidents of forced labor and DR14g Risk of incidents of child labor ESRS S1 Own workforce S1-1 – Policies related to own workforce • Strategic report – Corporate conduct – Respecting human rights • Sustainability statements – General disclosures – ESG sustainability governance • Sustainability statements – Social – Attractive Workplace for all – How we're managing Includes DR20 Human rights policy commitments; DR21 Due diligence policies on issues addressed by the fundamental International Labor Organization (ILO) Conventions 1 to 8; DR22 Processes and measures for preventing trafficking in human beings and DR23 Workplace accident prevention policy or management system ESRS S1 Own workforce S1-2 – Processes for engaging with own workforce and workers’ representatives about impacts • Sustainability statements – Social – Responsible value chain – How we're managing ESRS number Section title Related ESRS disclosure requirements Reference Explanation STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 186 General disclosures Environmental Social Governance Reference table (continued)


 
ESRS S1 Own workforce S1-3 – Processes to remediate negative impacts and channels for own workers to workforce to raise concerns • Sustainability statements – Social – Responsible value chain – How we're managing Includes DR32c Grievance/complaints handling mechanisms ESRS S1 Own workforce S1-4 – Taking action on material impacts on own workforce, and approaches to managing material risks and pursuing material opportunities related to own workforce, and effectiveness of those actions • Sustainability statements – Social – Attractive workplace for all – Our actions and resources ESRS S1 Own workforce S1-5 – Targets related to managing material negative impacts, advancing positive impacts, and managing material risks and opportunities • Sustainability statements – Social – Attractive workplace for all – Targets and performance ESRS S1 Own workforce S1-6 – Characteristics of the undertaking’s employees • Sustainability statements – Social – Attractive workplace for all – Metrics table and Additional disclosures ESRS S1 Own workforce S1-7 – Characteristics of non-employees in the undertaking’s own workforce Not included Phase-in provision applied ESRS S1 Own workforce S1-8 – Collective bargaining coverage and social dialogue • Sustainability statements – Social – Attractive workplace for all – Metrics table and Additional disclosures ESRS S1 Own workforce S1-9 – Diversity metrics • Sustainability statements – Social – Attractive workplace for all – Metrics table and Additional disclosures ESRS S1 Own workforce S1-10 – Adequate wages • Sustainability statements – Social – Attractive workplace for all – Metrics table and Additional disclosures ESRS S1 Own workforce S1-11 – Social protection Not included Phase-in provision applied ESRS S1 Own workforce S1-12 – Persons with disabilities Not included Phase-in provision applied ESRS S1 Own workforce S1-13 – Training and skills development metrics • Sustainability statements – Social – Attractive workplace for all – Metrics table and Additional disclosures ESRS S1 Own workforce S1-14 – Health and safety metrics • Sustainability statements – Social – Attractive workplace for all – Metrics table and Additional disclosures Includes DR88b DR88c Number of fatalities and number and rate of work-related accidents – Phase-in provision applied for non-employees; DR88d Number of cases of recordable work- related ill health; DR88e Number of days lost to injuries, accidents, fatalities or illness. ESRS S1 Own workforce S1-15 – Work-life balance metrics Not included Phase-in provision applied ESRS S1 Own workforce S1-16 – Remuneration metrics (pay gap and total remuneration) • Sustainability statements – Social – Attractive workplace for all – Metrics table and Additional disclosures Includes DR97a Unadjusted gender pay gap and DR97b CEO pay ratio ESRS S1 Own workforce S1-17 – Incidents, complaints and severe human rights impacts • Sustainability statements – Governance – ESG integrated governance – Metrics table and Additional disclosures Includes DR103a Incidents of discrimination and DR104a Non-respect of UN Guiding Principles on Business and Human Rights (UNGPs) and OECD Guidelines ESRS S2 Workers in the value chain SBM-2 – Interests and views of stakeholders • Sustainability statements – General disclosures – Impact, risk and opportunity management ESRS number Section title Related ESRS disclosure requirements Reference Explanation STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 187 General disclosures Environmental Social Governance Reference table (continued)


 
ESRS S2 Workers in the value chain SBM-3 – Material impacts, risks and opportunities and their interaction with strategy and business model • Sustainability statements – General disclosures – Impact, risk and opportunity management Includes DR11b Significant risk of child labor or forced labor in the value chain ESRS S2 Workers in the value chain S2-1 – Policies related to value chain workers • Sustainability statements – Social – Responsible value chain – How we're managing Includes DR17 Human rights policy commitments; DR18 Policies related to value chain workers; DR19 Non-respect of UNGPs and OECD Guidelines and Due diligence policies on issues addressed by the fundamental ILO conventions 1 to 8 ESRS S2 Workers in the value chain S2-2 – Processes for engaging with value chain workers about impacts • Sustainability statements – Social – Responsible value chain – How we're managing ESRS S2 Workers in the value chain S2-3 – Processes to remediate negative impacts and channels for value chain workers to raise concerns • Sustainability statements – Social – Responsible value chain – How we're managing ESRS S2 Workers in the value chain S2-4 – Taking action on material impacts on value chain workers, and approaches to managing material risks and pursuing material opportunities related to value chain workers, and effectiveness of those actions • Sustainability statements – Social – Responsible value chain – Our actions and resources Includes DR36 Human rights issues and incidents connected to its upstream and downstream value chain ESRS S2 Workers in the value chain S2-5 – Targets related to managing material negative impacts, advancing positive impacts, and managing material risks and opportunities • Sustainability statements – Social – Responsible value chain – Targets and performance ESRS S3 Affected communities SBM-2 – Interests and views of stakeholders • Sustainability statements – General disclosures – Impact, risk and opportunity management ESRS S3 Affected communities SBM-3 – Material impacts, risks and opportunities and their interaction with strategy and business model • Sustainability statements – General disclosures – Impact, risk and opportunity management ESRS S3 Affected communities S3-1 – Policies related to affected communities • Sustainability statements – Social – Valued partner in our communities – How we're managing Includes DR16 Human rights policy commitments; DR17 Non-respect of UNGPs on Business and Human Rights, ILO principles or OECD Guidelines ESRS S3 Affected communities S3-2 – Processes for engaging with affected communities about impacts • Sustainability statements – Social – Valued partner in our communities – How we're managing ESRS S3 Affected communities S3-3 – Processes to remediate negative impacts and channels for affected communities to raise concerns • Sustainability statements – Social – Valued partner in our communities – How we're managing ESRS S3 Affected communities S3-4 – Taking action on material impacts on affected communities, and approaches to managing material risks and pursuing material opportunities related to affected communities, and effectiveness of those actions • Strategic report – Corporate conduct – Respecting human rights • Sustainability statements – General disclosures – ESG sustainability governance • Sustainability statements – Social – Valued partner in our communities – Our actions and resources Includes DR36 Human rights issues and incidents ESRS S3 Affected communities S3-5 – Targets related to managing material negative impacts, advancing positive impacts, and managing material risks and opportunities • Sustainability statements – Social – Valued partner in our communities – Targets and performance ESRS S4 Consumers and end-users Not a material topic based on the outcome of our DMA ESRS number Section title Related ESRS disclosure requirements Reference Explanation STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 188 General disclosures Environmental Social Governance Reference table (continued)


 
ESRS G1 Business conduct GOV-1 – The role of the administrative, supervisory and management bodies • Sustainability statements – Governance – ESG integrated governance ESRS G1 Business conduct IRO-1 – Description of the processes to identify and assess material impacts, risks and opportunities • Sustainability statements – General disclosures – Impact, risk and opportunity management ESRS G1 Business conduct G1-1 – Business conduct policies and corporate culture • Sustainability statements – Governance – ESG integrated governance Includes DR10b United Nations Convention against Corruption; DR10d Protection of whistle- blowers ESRS G1 Business conduct G1-2 – Management of relationships with suppliers Not a material sub-topic based on the outcome of our DMA ESRS G1 Business conduct G1-3 – Prevention and detection of corruption and bribery • Sustainability statements – Governance – ESG integrated governance ESRS G1 Business conduct G1-4 – Incidents of corruption or bribery • Sustainability statements – Governance – ESG integrated governance Includes DR24a Fines for violation of anti- corruption and anti-bribery laws; DR24b Standards of anti-corruption and anti-bribery ESRS G1 Business conduct G1-5 – Political influence and lobbying activities Not a material sub-topic based on the outcome of our DMA ESRS G1 Business conduct G1-6 – Payment practices Not a material sub-topic based on the outcome of our DMA ESRS number Section title Related ESRS disclosure requirements Reference Explanation STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 189 General disclosures Environmental Social Governance Reference table (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 190 General disclosures Environmental Social Governance Environmental at a glance Our ambition We aim to reduce the environmental footprint of our operations and supply chain, as well as the environmental impacts of our products and services. On the following pages, we set out our approach and progress to date. Energy efficiency and climate action We aim to reduce our climate impacts, working closely with our partners and peers in the entire semiconductor value chain – in our own operations together with our suppliers, in our customers’ production processes, and through reducing the energy used by semiconductors in operation by enabling scaling. We’ll do this by focusing on the following sub-topics: • Manufacturing and buildings • Purchased goods and services • Logistics • Business travel • Employee commuting • Product use • Impact on ICT and society We aim to be greenhouse gas neutral across our value chain by 2040. Read more on page 191 > Circular economy We aim to minimize resource inflows and waste outflows, to generate business value and avoid negative impacts on the planet. We’ll do this by focusing on the following sub-topics: • Systems • Parts and tools including packaging and transport tools • Non-product-related (NPR) waste (hazardous and non-hazardous) • Real estate (building renovation and construction) We aim for zero waste from operations to landfill and incineration by 2030. Read more on page 231 > The EU Taxonomy at ASML In our EU Taxonomy disclosure, we have classified our environmentally sustainable economic activities and investments and report the related economic key performance indicators of turnover, capex and opex. We report on the alignment assessment of our eligible economic activities and on ASML meeting the minimum safeguards constituted chiefly by the OECD Guidelines and UN Guiding Principles. Read more on page 246 >


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 191 General disclosures Environmental Social Governance Energy efficiency and climate action We aim to be greenhouse gas neutral across our value chain by 2040 Why it matters ...for the planet ...for ASML As the world turns to technology to help solve some of its most pressing challenges, we provide innovative lithography solutions for producing microchips that help society reduce global energy use and mitigate greenhouse gas (GHG) emissions.1 Our goal is to expand the availability of computing power and data storage capability while reducing the environmental impact of our operations, our supply chain and the use of our products. Growing demand for enhanced chip functionality means the complexity and energy consumption of microchip patterning is increasing. Limiting global warming in line with the Paris Agreement to 1.5°C needs accelerated and increased action; therefore, we are aiming for GHG neutrality across our entire value chain by 2040, while energy demand is increasing. We are also looking at ways to mitigate our negative climate impacts, mainly from our products’ energy consumption and emissions from sourcing and supply chain activities. This complex challenge can only be achieved by working closely with our value chain partners. 1. To clearly demarcate the scope of our policy on energy efficiency and climate action, please note that ‘climate action’ is defined as mitigation of GHG emissions. Within our policy, we refer to emissions as GHG emissions – and, of these, CO2 emissions are material to ASML. The transition to a business model that strives to maximize energy efficiency across our value chain – and that combats climate change – is important: ...for our customers Our approach contributes to their objectives to reduce emissions resulting from their use of our products and invites them to collaborate. ...for our employees ESG sustainability is a key driver of both engagement – our employees feeling engaged and empowered to contribute – and our ability to attract new talent. ...for our suppliers Our approach contributes to driving ESG sustainability performance and encourages collaboration to exchange experience and reduce emissions. ...for our shareholders Our approach contributes to investors’ objectives – for example, by improving sustainability performance and reducing (climate-related) investment risk. ...for society Our approach contributes to societal objectives to reduce energy consumption and emissions – thereby halting the advance of climate change. Read more about our double materiality process and identified impacts, risks and opportunities for this theme in Sustainability statements – General disclosures – Impact, risk and opportunity management Our 2024 progress: 32.8 kt 12.0 Mt Scope 1 and 2 CO2e emissions Scope 3 CO2e emissions (2025 target: GHG neutral) (2040 target: GHG neutral) 0.83 kt 5.9 kWh Scope 3 intensity in CO2e (per €m gross profit) NXE energy use per wafer pass (2025 target: 0.93 kt) (NXE:3800E, measured in 2024) (2025 target: 5.1 kWh) 9% Commitment of top 80% suppliers (based on CO2e emissions) to reduce their CO2e footprint by 2030 (2026 target: 75%)


 
Our objective We want to reduce our climate impacts, working closely with our partners and peers in the entire semiconductor value chain – in our own operations together with our suppliers, in our customers’ production processes and through reducing the energy used by semiconductors in operation by enabling scaling. We aim to reach our target of GHG neutrality across our value chain by 2040 in stages – across our manufacturing and buildings (scope 1 and 2) and for business travel and commuting (scope 3) by 2025, in our supply chain (scope 3 upstream) by 2030, and from the use of our products and services by customers (scope 3 downstream) by 2040. We are a signatory to the Science Based Targets initiative (SBTi) and we have SBTi- approved near-term gross targets for 2025, in line with the 1.5°C scenario. We aim to obtain SBTi approval for our 2040 gross targets, which implies that we aim to reduce our scope 1 and 2 GHG emissions by 90% and scope 3 GHG emissions intensity per gross profit by 97% compared to our base year 2019. The How we’re managing section reflects our policy on the Energy efficiency and climate action topic, which is made publicly available to our stakeholders via this Annual Report. Manufacturing and buildings Purchased goods and services Logistics Business travel Within our manufacturing locations and other buildings, we focus on reducing energy consumption, using renewable energy, and – as of 2025 – compensating for residual CO2e emissions. Via our Strategic Sourcing and Procurement sustainability program, in cooperation with our suppliers, we're aiming to reduce our carbon footprint to achieve GHG neutrality in our supply chain by 2030. We work with our logistics suppliers to improve emission data quality related to transportation and distribution services, and we have started using options to move toward more sustainable modes of transportation. We focus on reducing our business travel emissions by applying a strict need-to-travel policy, increasingly using the train and electric vehicles for shorter distances and sourcing sustainable aviation fuel for part of our air travel. Beginning in 2025, we plan to compensate for residual CO2e emissions. Employee commuting Product use Customers, ICT and society We focus on improving the data quality of employee commuting emissions worldwide, while also extending emission reduction initiatives from the Netherlands to our other locations globally. Beginning in 2025, we plan to compensate for residual CO2e emissions. When designing new lithography and metrology and inspection systems, we increasingly focus on reducing their energy consumption. In cooperation with our customers, we're committed to reducing our carbon footprint to achieve GHG neutrality from the use of our products and services by 2040. Our customers’ products are used in a wide variety of applications, impacting society’s emissions, both positively and negatively. In collaboration with the industry, we aim to have a better understanding of the GHG emissions caused by the use of our customers’ products. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 192 General disclosures Environmental Social Governance Energy efficiency and climate action: How we’re managing


 
Our approach Our approach applies to ASML worldwide and focuses on seven material sub-topics, tailored to a combination of our organizational structure and external standards (following the emissions categorization of the GHG Protocol): • Manufacturing and buildings • Purchased goods and services • Logistics • Business travel • Employee commuting • Product use • Impact on information and communications technology (ICT) and society Read more in Sustainability statements – General disclosures – Impact, risk and opportunity management These sub-topics cover the most relevant emission categories for ASML across scope 1, 2 and 3, according to the GHG Protocol. In addition, the ICT industry also has a material impact on the broader emissions of society, as defined by our DMA. There are smaller scope 3 categories that we do not address explicitly. Although the resulting GHG emissions reduction is an integral part of overall target-setting for scope 3, these categories (fuel- and energy-related activities, waste generated in operations and end-of-life treatment) make up less than 0.1% of our total scope 3 emissions. Read more in Sustainability statements – Circular economy Levers for action We are committed to lowering our carbon footprint across our operations and in our supply chain. We are also increasing the productivity of our products – reducing their energy consumption per processed wafer – and are working toward reducing their absolute energy consumption, to achieve GHG neutrality across our entire value chain. We define GHG neutrality as having our remaining emissions, after ASML’s efforts to reach our GHG emission reduction targets, compensated by the same amount of tonnes (metric tons) of carbon credits that are verified against recognized quality standards. We aim to achieve the above objectives based on three principles: Reducing energy use Through our energy efficiency strategy, we aim to minimize energy demand across our value chain by taking the following steps: Analyze: Understand energy use and GHG emissions to identify focus areas and opportunities to improve (as an enabler for other steps) Minimize: Minimize the amount of energy consumed across our value chain by: • Improving processes to require less energy • Improving energy efficiency of equipment, both by selecting efficient equipment for our own facility installations and by designing our products for improved energy efficiency • Reusing energy – for example, by reusing heat from machinery for office heating Switching to renewable energy Within our own operations, our focus is on the shift to renewable electricity as we strive to substantially reduce our gas consumption through a combination of energy-saving and electrification projects. For electricity, this means firstly that we strive to maximize the renewable electricity generation on our own premises. For the remaining need, we aim to source 100% credible renewable electricity with the following quality attributes: • Sustainable sources: Wind, solar, hydro or geothermal • Local: As close as possible to where electricity is used and on the same grid • Additional capacity: Commercial operation date (start date of delivering electricity to the grid) of newly contracted projects maximally one year ago • Bundled: Renewable electricity certificates and electricity bundled in long-term contracts • Minimize risks: Screening for material environmental or social negative impacts or risks, and/or elements that turn the environmental business case negative • Fair price: With a fair market price in the context of the markets where we operate, when compared to similar electricity sources over time In the upstream and downstream parts of our value chain, we closely cooperate with both suppliers and customers to increase their share of renewable energy usage (currently focusing on electricity). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 193 General disclosures Environmental Social Governance Energy efficiency and climate action: How we're managing (continued)


 
Levers for action Compensating residual emissions Following the hierarchy outlined above, we aim to minimize GHG emissions from our activities as much as possible. Where this is not feasible, we plan to compensate emissions from our own operations, business travel and commuting, by retiring the same volume of voluntary emission reduction certificates (VERs, also called ‘offsets’ or ‘carbon credits’) or equivalent, in a phased approach, starting in 2025. Although compensating residual emissions enables us to become GHG neutral, this is currently not considered a substitute for reducing our emissions. As part of our Climate Transition Plan, we have defined separate (gross) emission reduction targets that are pursued independently of any offsetting. We strive to assemble a cost- effective offset portfolio from projects that fulfill best-practice quality criteria – additionality, permanence, accurate quantification and transparency – and are validated by leading third-party standards. In ASML’s offset portfolio only ‘removal’ offsets (nature- and/or tech-based) are considered eligible. The composition of our offset portfolio will be based on combined guidance from various external sources, such as the (Revised) Oxford Principles for Net Zero Aligned Carbon Offsetting, SBTi’s Beyond Value Chain Mitigation report, peer benchmarking and regulatory developments in the EU. We intend that the initial portfolio to offset our residual emissions (from 2025 onward) will only contain nature-based removal projects (such as afforestation and reforestation), since tech-based options (such as direct air capture) currently have limited availability and poor cost- effectiveness. These innovative solutions may, however, be considered as potential investment opportunities in our ESG innovation investment program. In addition to our selection criteria described above, we will aim to prioritize sourcing recent vintage offsets from projects in regions where we operate. This allows us to maintain closer oversight of project governance, including the opportunity for in- person site visits, and aligns with our goal of purchasing offsets where the carbon removal impact has been achieved in recent years. Offsetting residual emissions will start in 2025. As of December 31, 2024, ASML did not hold any VERs based on existing contractual agreements. We define our emissions from own activities that qualify for compensation as of 2025 as our scope 1, scope 2 and scope 3 categories 6 (business travel) and 7 (employee commuting) emissions. We expect that compensation as of 2030 for the supply chain emissions (our scope 3 categories 1, 2 and 4) will take place in the upstream value chain, at the level and expense of our suppliers. For the remaining product use emissions (scope 3 category 11), we continue our collaboration with customers to explore the technical possibilities to eliminate residual emissions. For more information on details behind each of the levers, read more in Sustainability statements – Environmental – Energy efficiency and climate action – Climate Transition Plan Making carbon a financial consideration Our internal carbon price is intended to guide decision-making in internal business cases without creating direct monetary flows. It enables us to consistently factor externalities from GHG emissions into business cases, creating increased internal awareness and supporting capital expenditure (capex) investments aimed at reducing carbon emissions and improving energy efficiency. The intended scope of our internal carbon price will initially cover investment decisions in the emission categories we most directly control (our scope 1 and 2 emissions) and the use of our products (scope 3 category 11 emissions), after which we will look to expand the scope of our internal carbon price to external emission categories in collaboration with our value chain partners. The internal carbon price is currently not used in asset valuations in the Consolidated financial statements. In 2024, our initial internal carbon price has been defined at €200 per tonne of CO2e, indexed with 4% per year by default. We considered reference points such as carbon credits based on EU European Trading System (ETS) historical prices and forecasts, willingness-to-pay benchmarks based on a 30+ ICT industry peer group analysis, and cost-to-society benchmark studies in the Intergovernmental Panel on Climate Change (IPCC) report and in US Environmental Protection Agency (EPA) guidance. Our internal carbon price will be reviewed structurally on an annual basis, and on an ad hoc basis when circumstances arise, to ensure it remains aligned with our ESG ambition level. We are currently rolling out this mechanism and aim to use the internal carbon price for all investment decisions in the intended scope by 2025. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 194 General disclosures Environmental Social Governance Energy efficiency and climate action: How we're managing (continued)


 
Introduction Climate change is a global challenge that requires urgent action by everyone. We have been working hard to further strengthen and execute our climate strategy for many years, both internally in our operations and externally with partners in our entire value chain. We announced our climate ambitions in 2021 to strengthen collaboration with our suppliers and customers to mitigate our negative climate impacts. To reduce emissions from our own facilities, ASML is currently executing its third five-year energy savings master plan, and is increasing the use of renewable electricity. Together with our suppliers, we are working to jointly reduce the carbon footprint of our supply chain. In addition, we have an ongoing focus in our research and development (R&D) processes to increase the energy efficiency of our products. Our Climate Transition Plan is our strategic roadmap that underpins our ambition to align with the goals of the Paris Agreement which states that, to keep global warming below 1.5°C, GHG emissions need to be reduced by 45% by 2030 and reach net zero by 2050. However, according to the latest climate science, the scenario to keep global warming below 1.5°C is slowly getting out of reach. We feel the world, including us, needs to move faster – so we aim to be GHG neutral across our value chain by 2040. We commit to taking ambitious action by driving our Climate Transition Plan in each of the emission categories. The base year for our Climate Transition Plan is 2019 – selected as this was not impacted by COVID-19, commonly used in guidance and governmental targets, and it aligns with the base year for our SBTi- approved near-term targets (approved in 2021). The projected actions are all allocated to the relevant improvement levers (1. Reducing energy use, 2. Switching to renewable energy and 3. Compensating residual emissions). Our Climate Transition Plan is embedded in our business strategy to deliver on our ESG sustainability ambitions. Responsibility for its execution lies with the business. The concrete actions executed in the past and toward the future as described in this plan are determined in collaboration between our ESG Sustainability team and the business through cross-functional meetings. To ensure sufficient resources are allocated in a timely manner throughout the business, the actions of our Climate Transition Plan are also embedded in our financial planning cycles – with implementation strengthened by an internal carbon price as described in the previous section. The Board of Management has adopted the Climate Transition Plan, and it is discussed in the ESG Committee of the Supervisory Board. We commit to updating our Climate Transition Plan on (at least) an annual basis to ensure assumptions and projections are reasonable in view of the latest information. We welcome stakeholder feedback to enable us to further increase the effectiveness of our actions and communication. Levers for action We aim to achieve our emission targets by working on three improvement levers, as described in the 'How we're managing' section. Below we disclose the reduction potential of each of these levers for our scope 1, 2 and 3. The order below also indicates the hierarchy of our efforts to mitigate our climate change impacts. 1. Reducing energy use We expect the key actions related to this improvement lever to deliver roughly half of the scope 1 and 2 emission reduction needed to reach our reduction target of 90%. For scope 3, we also expect the key actions of this improvement lever to contribute roughly half of the emission reductions in our Climate Transition Plan – see our scope 1, 2 and 3 pathway visuals. 2. Switching to renewable energy We expect the key actions related to this improvement lever to deliver roughly half of the scope 1 and 2 emission reduction needed to reach our reduction target of 90%. For scope 3, we expect that this lever has a reduction potential of roughly half of our scope 3 emission reductions toward 2040, excluding the impact of external trends (global decarbonization). This lever therefore only includes the efforts across our value chain to adopt higher levels of renewable energy. 3. Compensating residual emissions We aim to achieve our GHG neutrality targets first by reducing energy consumption and then by switching to renewable energy, so a minimized volume of residual emissions must be compensated. We plan to compensate residual emissions from our manufacturing and buildings, business travel and employee commuting as of 2025. We require our suppliers to deliver carbon- neutral products (and therefore offset any residual emissions for products delivered to ASML) as of 2030. For our 2040 ambition, we assume our customers will move toward 100% renewable electricity. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 195 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate Transition Plan


 
Levers for action GHG neutral ambition In 2021, we presented our roadmap to GHG neutrality across the value chain by 2040. We defined GHG neutrality targets for each of the material sub-topics related to energy efficiency and climate action as depicted on the right. Definitions have been updated in line with the ESRS, and gross reduction targets will be presented in the following sections to explain how we aim to maximize our emission reduction activities to achieve our ambitions by 2040. In previous years, we have published 'net zero' ambitions toward 2025, 2030 and 2040 for our entire value chain. The CSRD and the accompanying ESRS have adopted the use of net zero terminology in line with the most recent SBTi guidance. To avoid confusion with the updated net zero terminology and because our decarbonization ambitions involve the use of carbon credits, we decided to use the terminology ‘greenhouse gas neutral’ to describe our climate ambitions toward 2025, 2030 and 2040, as first announced in 2021. We acknowledge that our success in achieving our GHG neutrality targets depends significantly on actions by other parties; and need to work closely together with our customers, suppliers and other partners in our ecosystem. We have already intensified our collaboration across the industry value chain and will continue on this path to drive ambitious climate action in our industry. The diagram on the right illustrates our journey to GHG neutrality across our value chain by 2040 for our most material emission categories. In 2024, we continued our short-term SBTi targets, which we aim to reach by 2025. In 2025, we aim to submit our near-term target toward 2030 to SBTi for continuation, and our long-term target toward 2040, which are expected to be validated by SBTi in the course of 2025. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 196 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate Transition Plan (continued)


 
Science Based Target initiative (SBTi) The Corporate Net-Zero Standard of the SBTi is the world’s pre-eminent framework for corporate net-zero target- setting in line with climate science. It includes the guidance, criteria and recommendations companies need to set science-based net-zero targets consistent with limiting global temperature rise to 1.5°C. According to SBTi, companies can set both absolute or emission intensity targets. In absolute terms, the aim for these targets is to roughly halve emissions before 2030, while in the longer term companies must cut all possible emissions – usually more than 90% – before 2050. For long-term emission intensity targets, the required minimum intensity reduction is 97%. We have defined intensity as CO2e emissions per unit of gross profit. Scope 1 and 2 – Manufacturing and buildings emissions Our ambitions and progress On the next page we visualize our projected pathway to reduce our scope 1 and 2 emissions toward 2040. For total scope 1 and 2 emissions, the 2019 baseline value is 60 kt CO2e. In 2024, we expanded our reporting boundaries for scope 1 and 2 emissions to include all premises owned and leased by ASML. We also incorporated emissions from our lease cars in our scope 1 and 2 calculation, leading to an increase in base year emissions. To help to ensure we are consistent with our transition pathway – which is in line with the objective of the Paris Agreement – we have determined the following ambitions: • Reduce absolute scope 1 and 2 GHG emissions by 25.2% by 2025 from a 2019 base year: This ambition is validated and approved by the SBTi in 2021, under the ‘near-term’ category. In 2024, with emissions of 33 kt CO2e, we have already reduced absolute scope 1 and 2 emissions from 2019 by 46%, exceeding the SBTi target. • Reduce absolute scope 1 and 2 GHG emissions by 75% by 2030 from a 2019 base year: We aim for CO2e emissions below 15 kt by 2030. • Reduce absolute scope 1 and 2 GHG emissions by 90.0% by 2040 from a 2019 base year: We aim to lower our emissions to below 6 kt CO2e by 2040. • GHG neutral operations (scope 1 and 2) by 2025: To achieve GHG neutrality, we will use offsetting. Avoided and reduced emissions Avoided and reduced emissions are defined by comparing a scenario of growth at constant emission intensity since 2019 (dotted blue line), to a scenario that reflects the historic emissions trajectory and business-as-usual growth as of 2024 (dotted pink line). For the scenario of growth at constant emission intensity (ktCO2e/€m gross profit) we used the 2030 moderate sales opportunity and mid-point to mid-point gross margin guidance from the 2024 Investor Day, and for the purpose of the Climate Transition Plan only, we modeled further growth from 2030 toward 2040. For the business-as-usual growth scenario, we do not anticipate growth in absolute emissions, as we aim to develop gas-free new buildings if and when our potential growth requires so. Taking into account the 2024 worldwide scope of our manufacturing and buildings emissions, we have been able to reduce our scope 1 and 2 emissions from 60 kt to 33 kt between 2019 and 2024 by deploying our energy savings master plan of 2020–2025 – achieving energy savings by, for example, reusing waste heat from our factories for office conditioning through our energy grids, installing solar panels, replacing chillers and optimizing the use of air-conditioning systems. We have also been working to increase the amount of renewable electricity purchased for our premises in multiple locations around the world, covering large industrial locations in Berlin and South Korea for the first time this year. Key actions for scope 1 and 2 In addition to past actions, the contribution of future key actions to reach our 2040 target is shown at the right-hand side in the visual. Our energy savings master plans 2020–2025 and 2026–2030 – Improvement lever: Reduce This includes, among other actions, the reduction of natural gas consumption by energy efficiency measures and electrification for our main industrial locations in the US, and increasing solar capacity on our own buildings/premises. Renewable electricity sourcing – Improvement lever: Renew We are driving a shift to renewable energy by increasing the share of direct green electricity purchases from renewable electricity generated close to our premises. In the Netherlands, we have a 10-year purchase agreement for green electricity for our installations toward 2030. In 2023, we secured a long-term power purchase agreement (PPA) in Taiwan, which became operational in 2024. Additionally, we secured a yearly contract in South Korea in 2024, and a two-year contract in Germany that extends through the end of 2025. Further gas reduction and green gas sourcing – Improvement levers: Reduce and Renew In 2024, we started to assess further gas reduction possibilities and the 'green/ renewable gas' market for gaseous fuels that are produced from renewable sources and are more sustainable alternatives than conventional fossil-fuel-based natural gas. We will soon decide whether we want to include this option in our mid- to long-term purchase portfolio. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 197 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate Transition Plan (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 198 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate Transition Plan (continued) 1. Historic values shown in the visual reflect the current reporting scope and calculation methodology and may deviate from reported values in previous Annual Reports, as those were based on the reporting scopes and calculation methodologies used in the respective years. 2. In addition to our SBTi validated target from 2021, the Supervisory Board has applied an LTI performance measure on scope 1 and 2 emissions for the 2023-2025 period. Read more in the Board of Management remuneration section. 3. In 2025, we aim to submit our near-term and long-term targets toward 2030 and 2040 to SBTi for continuation. 4. The description of avoided and reduced emissions, included on the next page, is on ASML’s company level, which differs from the guidance by the GHG Protocol on estimating and reporting avoided emissions, which is on product level.


 
Scope 3 emissions Scope 3 emissions include both upstream and downstream activities, and mainly comprise emissions generated in our supply chain, through business travel and commuting, and through use of our products at our customers’ sites. We measure progress in reducing our scope 3 emissions by emission intensity – that is, total scope 3 emissions (tonnes CO2e) against total gross profit (€ millions) and absolute reduction. Our ambitions and progress We illustrate our projected pathway to reduce our scope 3 emissions toward 2040. The baseline value in 2019 is 7.6 Mt CO2e. To ensure we are consistent with our transition pathway – which is in line with the objective of the Paris Agreement – we have determined the following ambitions for our total scope 3 emissions: • Reduce scope 3 GHG emissions 35.3% per €m gross profit by 2025 from a 2019 base year: This ambition is validated and approved by the SBTi under the ‘near- term’ category and represents an intensity reduction of 1.44 kt per € million gross profit. Our scope 3 emissions intensity for 2024 was 0.83 kt CO2e per € million gross profit. We aim for CO2e emissions below 15.7 Mt by 2025. We are still on track to achieve our SBTi target of 0.93 kt CO2e per € million expected gross profit in 2025. • Reduce scope 3 GHG emissions 55.0% per €m gross profit by 2030 from a 2019 base year: We aim for CO2e emissions below 19.5 Mt by 2030. • Reduce scope 3 GHG emissions 97.0% per €m gross profit by 2040 from a 2019 base year: We aim for CO2e emissions below 2.3 Mt by 2040 In 2024, in absolute terms, scope 3 emissions accounted for 12.0 Mt – or 99.7%– of our total emissions footprint. Of this 12.0 Mt, 5.5 Mt were ‘upstream’ emissions – mainly related to the goods and services we buy and ship – and including 0.1 Mt from business travel and commuting. 6.6 Mt were indirect ‘downstream’ emissions from the use of sold products at our customers’ sites. We expect emissions to continue rising in the short term due to our continued growth and more complex products. To ensure we meet our ambition, we need to work together with our value chain partners to stabilize and then decrease emissions – for example, by increasing the capacity of renewable electricity in some regions of the world. Avoided and reduced emissions Avoided and reduced emissions are defined by comparing a scenario of growth at constant emission intensity since 2019 (dotted blue line), to a scenario that reflects the historic emissions trajectory and business-as-usual growth as of 2024 (dotted pink line). The difference between these two lines visualizes the combined impact of efficient scaling (avoided emissions) and past actions (reduced emissions). For the scenario of growth at constant emission intensity (ktCO2e/€m gross profit) we used the 2030 moderate sales opportunity and mid-point to mid-point gross margin guidance from the 2024 Investor Day, and for the purpose of the Climate Transition Plan only, we modeled further growth from 2030 toward 2040. For the business-as-usual growth scenario, we modeled the projected 2040 scope 3 emissions based on progress made so far with our reduction efforts (2024 emissions) and expected scaling of different parameters that drive emission growth, such as the number of systems sold and employee headcount. Global decarbonization Global decarbonization reflects the assumed emission reductions from the external trend of electricity grids gradually shifting to more renewables, and as a result decreasing the emission factors of average grid electricity. This is considered an exogenous effect and is therefore not considered part of our emission reductions. Global decarbonization is modeled based on current global emission factors and the foreseen global emission factors toward 2030 and 2040 as per the International Energy Agency (IEA) database. Key actions for scope 3 The contributions of our key actions toward our targets are visualized on the next page. Detailed explanations can be found in the sections ‘Key actions for scope 3 supply chain and logistics emissions’ and ‘Key actions for scope 3 product use emissions’ starting on the page after the next visual. Innovation gap The innovation gap shows the additional emission reductions needed, after the current key actions, in order to reach the 2040 target. We aim to close the innovation gap in collaboration with our supply chain partners and customers based on additional actions to be taken and agreed upon in the (near) future. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 199 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate Transition Plan (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 200 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate Transition Plan (continued) 1. Historic values shown in the visual reflect the current reporting scope and calculation methodology and may deviate from reported values in previous Annual Reports, as those were based on the reporting scopes and calculation methodologies used in the respective years. 2. In 2025, we aim to submit our near-term and long-term targets toward 2030 and 2040 to SBTi for continuation. 3. The description of avoided and reduced emissions, included on the next page, is on ASML’s company level, which differs from the guidance by the GHG Protocol on estimating and reporting avoided emissions, which is on product level. 4. Historic emissions for business travel and employee commuting are too small to be included in the visual. 5. The absolute amount of residual emissions shown in the visual is equivalent to the -97% intensity target based on current Climate Transition Plan modelling assumptions but is not a company target by itself.


 
Scope 3 – Business travel and commuting emissions Our progress and ambitions For scope 3 business travel and commuting, we take 97 kt and 42 kt as the respective 2019 baselines. We have seen a significant drop between 2020 and 2022 due to the COVID-19 travel restrictions – and an increase since. However, in 2024 our business travel emissions are 65 kt and our commuting emissions are 36 kt. Total business travel and commuting emissions for 2024 add up to 101 kt. Compared with the baseline, the decrease is mainly related to the travel budget restrictions offset with an increase of employees commuting to work. We have determined the following ambition for our business travel and commuting emissions: • Become GHG neutral for scope 3 emissions from business travel and commuting by 2025 Read more about the actions related to these scope 3 categories in Sustainability statements – Environmental – Energy efficiency and climate action – Business travel and Employee commuting Scope 3 – Supply chain (purchased goods & services) and logistics emissions Our progress and ambitions The 2019 baselines for scope 3 supply chain and logistics emissions are respectively 2,841 kt and 213 kt, adding up to a total of 3,054 kt. Within the supply chain, our related emissions have increased compared to the 2019 baseline, primarily caused by our growth and accompanying spend. As we have calculated our emissions based on spend, this is a logical trend. We have been working on improving data quality through closer collaboration with our suppliers, as an enabling step to reduce our supply chain emissions in the near future. In 2024, our supply chain emissions were 5,032 kt and our logistics emissions were 322 kt, adding up to a total of 5,354 kt. For our supply chain and logistics emissions, we have determined the following ambition: • Become GHG neutral for scope 3 emissions from supply chain and logistics by 2030 Key actions for scope 3 supply chain and logistics emissions Supplier commitments to reduce emissions by 2030 – Improvement levers: Reduce and Renew One of our main actions is closer collaboration with our suppliers, as part of our Strategic Sourcing & Procurement (SS&P) ESG sustainability program. We actively engage and collaborate with our supply chain partners to adopt more sustainable sourcing practices and ask them to commit to reducing or offsetting their scopes 1, 2 and 3 emissions by 2030. As part of our program, we also encourage our suppliers to develop roadmaps to use more renewable energy where possible. This is reflected in some of the industry partnerships we participate in, such as our partnership with SEMI – through which we advocate within the industry to reduce emissions and increase the availability of renewable energy in regions with limited capacity. From air to ocean freight in logistics operations – Improvement lever: Reduce We have developed a program to increase return shipments (of empty containers) by sea, as opposed to the current common practice of returning shipments by air. In 2024, we transported our first new deep ultraviolet lithography (DUV) and YieldStar systems to a customer via ocean freight and aim to use this method for more outbound shipments in the future. Scope 3 – Product use emissions Our progress and ambitions The baseline for scope 3 product use emissions is 4,374 kt in 2019. Emissions related to the use of our products have also seen an increase between 2019 and 2024 due to growing sales volumes. In 2024, our product use emissions were 6,569 kt. However, we do see decreasing energy use per wafer pass. We measure the energy efficiency of our systems on total energy consumption per system and per wafer pass. We have worked on energy efficiency roadmaps for our different product categories – extreme ultraviolet lithography (EUV), DUV, and metrology and inspection systems – to ensure less energy is required to produce a chip, providing the opportunity for our customers to reduce their scope 2 emissions. However, the biggest impact is achieved by customers purchasing green electricity for their manufacturing locations, which we are further stimulating through active participation in the Semiconductor Climate Consortium (SCC). For our product use emissions we have determined the following ambition: • Become GHG neutral for scope 3 emissions from product use by 2040 Key actions for scope 3 product use emissions Energy reduction roadmaps 2030 (EUV, DUV, metrology and inspection) – Improvement lever: Reduce The largest portion of our (indirect) GHG emissions arises during use of our systems at customers’ factories. In order to reduce those emissions, we develop system roadmaps that aim to improve the energy efficiency of all our main product lines (EUV, DUV, metrology and inspection systems, and computational lithography). These roadmaps have been developed toward 2030 (with draft numbers until 2040) and are updated on a regular basis to ensure adoption of the latest technologies in future products. Concrete examples include the introduction of sleep modes for our lithography systems to reduce power consumption when not in use, actions to improve the energy efficiency of the EUV source, and actions to make our future EUV systems compatible with higher- temperature cooling water. Semiconductor Climate Consortium (SCC) – Improvement lever: Renew The largest portion of emission reductions during the use phase of our systems at customer sites can be achieved if customers switch to renewable electricity sources. Therefore, we actively promote industry- wide collaboration to reduce GHG emissions across our value chain – through both direct engagement with customers and industry collaborations such as the SCC. Being one of the founding members of the SCC, we work together with our value chain partners to commit to becoming more transparent by reporting progress on scopes 1, 2 and 3 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 201 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate Transition Plan (continued)


 
emissions annually, setting near- and long- term decarbonization targets with the aim of reaching GHG neutrality by 2040, and improving collaboration to align on sharing best practices, technology innovations and communication channels to continuously reduce GHG emissions. Dependencies, challenges and locked-in emissions To achieve our ambitions, we are dependent on the actions taken by our customers (uptake of renewable energy) and suppliers (for example compensating residual emissions from products to ASML). The complexity of our supply chain with a long tail existing of many different tiers is adding additional challenges. We are also dependent on the accessibility of affordable low-carbon energy, which is not available in all regions where we and our suppliers and customers operate. Lastly, we are aware that the availability of carbon credits might be impacted by an increasing market demand toward 2030 and 2040. We also have to consider potential locked-in GHG emissions – which are emissions caused by our assets and products sold within their operational lifetime. We still use gas boilers at multiple locations, and it may take years to replace these with low-carbon alternatives. Our products, including critical components such as the EUV light source and the wafer and reticle stages, consume significant amounts of energy. We are developing energy efficiency roadmaps aimed at minimizing this energy consumption as much as possible. However, whether the remaining energy use results in locked-in emissions largely depends on the availability of affordable low-carbon energy to achieve our ambitions toward 2040. As an active founding member of the SCC, we collaborate with industry partners and governments to promote the availability and access to renewable electricity in the regions where our customers operate. We also foresee a challenge in our supply chain regarding hard-to-abate emissions, for example in purchasing low-carbon raw materials such as steel and aluminum. Both these materials are used in our products and account for most of the weight of our machines. Currently there are no viable low- carbon alternatives and the production industries for both these materials are not aligned with the Net Zero Emissions by 2050 (NZE) Scenario provided by the International Energy Agency (IEA). We will explore opportunities in these areas. As an example, we are working on more sustainable design principles for our systems, products and processes to maximize reusability and recyclability of these materials, such as opting for mono- material components. We also collaborate with suppliers to look into using materials that can be upgraded, refurbished or repaired, and thus reused. When no longer usable, we look into materials to be recycled, and aim to use more recycled content in raw materials. Lastly, we are also looking into sourcing certified materials to ensure these type of materials adhere to internationally recognized sustainability standards. Potential impact of changes in our product portfolio To determine the emission-reduction trajectory for our Climate Transition Plan, we use an internal modeling tool – enabling us to calculate different pathways. The development over time of our sales product mix (EUV and DUV lithography systems, metrology and inspection systems, computational lithography solutions, and system and process control software) is modeled in line with our public guidance, as disclosed during our most recent Investor Day – which indicates that toward 2030, we expect a gradual shift to larger percentages of EUV systems sold. We have not included any scenarios in which the future developments of new and existing product families have been modeled, as we do not yet have a sufficiently clear view on the potential emission increases or reductions. Toward the future, we will keep monitoring these innovative developments, and where needed incorporate these in our plans. Climate Transition Plan investments In order to achieve our ESG sustainability and climate action ambitions toward 2030 and 2040, we need to make significant investments. These include: • Capital expenditure (e.g. purchasing equipment to make our factories and other facilities more energy efficient, as well as lease contracts for new and/or renovated buildings) • Operating expenditure (e.g. investment in innovation, research and development to further improve the energy efficiency of our product portfolio) The investments in our key environmental sustainability actions resulting from our Climate Transition Plan are described in the topic-specific sections following. Where applicable, the link to our EU Taxonomy assessment is described. The alignment assessment of our eligible investments is included in our EU Taxonomy disclosure. There we also assess if our key economic activity (CE 1.2 Manufacture of electrical and electronic equipment) is, according to the Environmental Delegated Act, substantially contributing to the transition to a circular economy. Due to the nature and complexity of lithography systems, we are currently unable, and expect in the near future to be unable, to meet all the technical screening criteria from the EU Taxonomy, as explained in our Circular Economy section. We do support the transition to a sustainable economy by means of our key actions and related investments made as part of our circular strategy and for our climate action pathway to reach GHG neutrality by 2040. Read more in Sustainability statements – Environmental – EU Taxonomy EU-Paris-aligned benchmarks Paris-aligned benchmarks are indices where the total GHG emission levels of all underlying assets are aligned with the Paris Agreement, which aims to limit the rise in global temperatures to well below 2°C above pre-industrial levels, and to pursue efforts to keep the rise to 1.5°C. Companies can be excluded from these benchmarks if they significantly harm one or more of the environmental objectives of the EU. ASML is not excluded from EU Paris-aligned benchmarks. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 202 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate Transition Plan (continued)


 
Our scope In scope are our scope 1 and 2 emissions from manufacturing and buildings, which include our manufacturing locations and both owned and leased office locations worldwide. From 2024 onward, we report on all buildings (160+ in total). The baseline values are updated accordingly. In our base year (2019), our reporting scope was 20 buildings, which at the time accounted for more than 95% of our emissions. Scope 1 emissions comprise direct CO2 emissions from the use of natural gas and process CO2 in our operations, and the usage of lease cars. The larger part of our natural gas consumption is for heating and humidification of our buildings. Scope 2 emissions arise from our purchased electricity, which accounts for approximately 80% of our energy use. Most of our electricity consumption relates to the manufacturing of chipmaking equipment – assembly and testing of lithography, metrology and inspection systems – and maintaining consistent climate conditions such as temperature, humidity and air quality. Read more about our scope 1 and 2 calculation methodology in Sustainability statements – Environmental – Energy efficiency and climate action – Additional disclosures – Methodology on metrics Why it matters: Impacts, risks and opportunities For manufacturing and buildings, we have identified the following: IImpacts: Energy use and GHG emissions from manufacturing and buildings (scope 1 and 2) Impact on grid and energy availability through our manufacturing and buildings (scope 1 and 2) R i Risks and opportunities: Read more about climate-related risks and opportunities in Strategic report – Performance and risk – Risk and Sustainability statements – Environmental – Energy efficiency and climate action – Climate resilience analysis Targets and performance Become GHG neutral for scope 1 and 2 emissions from our manufacturing and buildings by 2025 We have been able to reduce our scope 1 and 2 emissions from 60 kt to 33 kt between 2019 and 2024 by deploying our energy savings master plan of 2020–2025 and purchasing more renewable electricity. Our GHG emission reduction targets and progress on scope 1 and 2 are discussed in detail in our Climate Transition Plan. The residual emissions will be compensated as of 2025 to reach our target. Our projected pathway to GHG neutrality is visualized on the next page. We have defined two additional targets related to manufacturing and buildings: Achieve energy savings of 100 TJ/year by 2025 through infrastructural projects executed in the period 2021–2025 in our own operations worldwide In 2024, as part of our energy savings master plan, we executed key projects in the Netherlands, the US, Germany (Berlin) and Taiwan, resulting in 53 TJ of annual energy savings. Total energy savings amounted to 100 TJ as a result of projects executed between 2021 and 2024. Of the total target of 100 TJ per year from projects, 13 TJ per year was achieved in 2021, 19 TJ per year in 2022 and an additional 15 TJ per year in 2023. Purchase 100% renewable electricity for our own operations worldwide by 2025 At the end of 2024 the share of renewable electricity was 96%, against our target of 100%. This level was achieved by securing a long-term power purchase agreement (PPA) in Taiwan in 2023, which became operational in 2024, and similar agreements in Germany and South Korea which were secured in 2024. We purchased more renewable electricity in 2024, because in 2024 we aimed to stay below the 2023 emission level, while we report on all buildings from 2024 onward. This is the equivalent of the emission reduction in Asia (~17 kt), the US (~3 kt) and Europe (~29 kt). We track our performance through progress performance meetings with senior leadership and cross-functional table meetings in which progress is reported toward our targets. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 203 General disclosures Environmental Social Governance Energy efficiency and climate action: Manufacturing and buildings Performance indicator Unit 2024 Target Target date Status Scope 1 – Direct emissions from fossil fuels in our operations (kt) kt 23.5 GHG neutral 2025 On track ò Scope 2 – Indirect emissions from energy consumption (kt) (market-based) kt 9.3 GHG neutral 2025 On track ò Energy savings worldwide through projects (in TJ) – cumulative TJ 100 100 2025 On track ò Renewable electricity (in % total electricity purchased – scope 2) % 96% 100% 2025 Work to be done n


 
Our actions and resources Our efforts within our own manufacturing locations and other buildings focus on reducing our consumption of energy, using renewable electricity and – as of 2025 – compensating for residual CO2e emissions. Progressing with our master plan to reduce energy consumption We have a five-year energy savings master plan covering each of our five largest industrial sites and comprising over 80 projects. It aims to reduce energy consumption through direct annual savings of at least 100 TJ by 2025 through projects executed in the period from 2021 to 2025. This is the equivalent of 14 kt CO2e using location-based emission factors. The main components of the master plan are improving the efficiency of the technical installations used for our operations, and optimizing our portfolio by building new offices that meet the latest green building standards, such as BREEAM (Building Research Establishment Environmental Assessment Method) in Europe, LEED (Leadership in Energy and Environmental Design) in the US and Asia, and LEED/G- SEED (Green Standard for Energy and Environmental Design) in South Korea. Reducing our use of natural gas is also a key objective. We have a multiyear project to implement an energy grid to reuse waste heat from our factories and offices at our site in Veldhoven – a two-pipe loop that makes waste heat available for heating in winter and energy-efficient cooling in summer – and are also applying adiabatic humidification. Based on our plans, we can calculate that the use of natural gas in Veldhoven will be reduced from around 4.4 million m3 (baseline 2019) to around 2.3 million m3 in 2025, driven by the energy grid and other energy- saving measures – including using heat pumps instead of combustion heating. Key energy-saving projects in 2024 In 2024, we saw an acceleration of the energy-saving projects in the master plan. These included: • Installation of solar panels in San Diego (US) leading to 6 TJ per year savings in 2024 • The operationalization of the energy grid and renovation of buildings in the Netherlands leading to an additional 32 TJ per year by the end of 2024 • Energy efficiency and LED lighting projects in Berlin resulting in approximately 6 TJ energy savings per year • Smaller projects completed this year, such as pipe isolation and air flow improvements in Wilton (US), leading to approximately 7 TJ energy savings per year • Cooling water pump replacement and process cooling water optimization in Taiwan resulted in 2 TJ energy savings per year Together with the projects realized as of 2021, we met our target, of saving 100 TJ per year by 2025 ahead of schedule in 2024. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 204 General disclosures Environmental Social Governance Energy efficiency and climate action: Manufacturing and buildings (continued)


 
Using renewable energy We are driving a shift to renewable energy by increasing the share of direct green electricity purchases – so-called bundled renewable electricity – sourced close to our premises. In the Netherlands, we are in the fourth year of a 10-year purchase agreement for green electricity for our installations, and we are increasing the share of our own renewable electricity generation through increasing our number of solar panels. In Taiwan, we have signed a five-year power purchase agreement (PPA) with the aim of providing our operations there with about 65% renewable electricity in 2024. Since we do not use gas in Taiwan, our ambition is to reach 100% renewable energy here by the end of 2025. In South Korea, although the renewable electricity market is limited, we purchased about 69% renewable electricity in 2024, with the remainder planned for 2025, depending on the availability of renewable electricity on the local market. Resources The 2024 total investments for our five-year energy savings master plan amounted to €126 million, of which the projects for the energy grid in Veldhoven, the renovation of office buildings in Veldhoven and the solar panels for our San Diego location are the most significant. The investments are included in the Consolidated financial statements under Property, plant and equipment. At ASML, approximately 10 FTEs are working for the energy savings master plan. The total estimated cost of €1.4 million relating to FTEs is included within the Consolidated financial statements under Selling, general and administrative costs. Our solar panel and energy grid investments directly contribute to our target of 100 TJ savings by 2025. The capital expenditure (capex) is assessed under EU Taxonomy activities CCM 4.1 Electricity generation using solar photovoltaic technology and CCM 4.9 Transmission & distribution of electricity. For the renovation of buildings, we have included the total investments. The incremental part of the investments directly contributing to the achievement of 100 TJ savings by 2025 cannot be derived from our total renovation expenditure. We have renovated multiple buildings over the past year: the capex corresponding to these renovations is considered eligible under EU Taxonomy activity CCM 7.2 Renovation of existing buildings. We classified the activity under climate change mitigation, because the focus of the renovation is on improving energy efficiency rather than circularity. In 2025, to further execute on our 2021-2025 energy savings master plan, we expect to invest approximately €63 million on matters including the renovation of buildings, solar panels and multiple smaller infrastructural improvements at our sites. Read more in Sustainability statements – Environmental – EU Taxonomy With respect to the financial resources for our goal to maximize our share of renewable electricity toward 100% in 2025, we acknowledge the external trend of global decarbonization by integrating renewables into the grids by operators. We also have long-term PPAs in place that commenced before this reporting period. Therefore, we do not assess the incremental part of our investments in renewable electricity contracts that directly contribute to our target. We do report the share and types of energy attribute certificates (EACs) to report our market-based scope 2 emissions in the metrics table on page 215. The total operational expenditure (opex) for these EACs amounts to €4.8 million for 2024 and is included within the Consolidated financial statements under Selling, general and administrative costs. To reach our 2025 target, we expect to purchase EACs for €7.3 million in 2025. In 2022, we established a Green Bond Framework as an overarching platform under which the company intends to issue green bonds to finance and/or refinance green projects with a positive environmental benefit. This Green Bond Framework is based on the 2021 version of the International Capital Markets Association (ICMA) Green Bond Principles. The Green Bond Allocation and Impact Reports are available via our website. In 2022, the standards for reporting under the EU Taxonomy Regulation differed from the Green Bond Principles standards of the ICMA, which leads to different results on these different standards. After 2022, we have not issued Green Bonds. Looking ahead In 2025, we will continue to purchase renewable energy and we will start purchasing and retiring carbon credits to reach our GHG neutrality target. The execution of energy-saving projects is on track and we already met our 100 TJ target in 2024. We will exceed the target by the end of 2025 due to the projects to be operationalized in 2025, including our energy-saving projects in Berlin and the operationalization of our energy grid. In the coming years, we also plan to expand the use of solar panels at our sites in EMEA, the US and Asia – and we aim to have more than 9,000 solar panels on our roofs by 2025. Due to shifts in the roadmap this is less than our initial ambition of placing 20,000 solar panels by the year end of 2025, yet the projects we have in our portfolio for the period 2026–2030 should realize our initial ambition. This would give us a total energy saving of around 30 TJ per year and a total CO2e emission reduction of around 5 kt per year – equivalent to the energy use of (on average) 3,900 households per year, taking 2,100 cars off the road or planting around 250,000 new trees (around six for every ASML employee). In 2024, the continuation of the energy savings master plan was drafted for the 2026–2030 time frame. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 205 General disclosures Environmental Social Governance Energy efficiency and climate action: Manufacturing and buildings (continued)


 
Our scope For purchased goods and services, all upstream (in other words, cradle-to-gate) emissions from the production of products purchased or acquired by ASML are in scope. Products include both goods (tangible products such as capital goods, materials, parts and modules) and services (intangible products such as maintenance contracts). Purchased goods and services include scope 3, categories 1 and 2. Read more about our scope 3 calculation methodology in Sustainability statements – Environmental – Energy efficiency and climate action – Additional disclosures – Methodology on metrics Why it matters: Impacts, risks and opportunities For purchased goods and services, we have identified the following: Impacts: Energy use and GHG emissions from purchased goods, services and logistics emissions (scope 3) Read more in Sustainability statements – Environmental – Energy efficiency and climate action – Logistics Risks and opportunities: Read more about climate-related risks and opportunities in Strategic report – Performance and risk – Risk and Sustainability statements – Environmental – Energy efficiency and climate action – Climate resilience analysis Targets and performance We have two targets for our scope 3 emissions related to purchased goods and services: Get commitment from our top 80% suppliers to reduce their CO2e footprint toward GHG neutrality by 2030 By year end 2024, 9% of our top suppliers in scope had committed to reducing their CO2e footprint toward GHG neutrality by 2030. In 2024 we aimed to have a commitment of 20% to enable us to be on track to make our 2026 target. However, our performance in 2024 was below this goal because it was a learning year during which gaining insights into how our suppliers calculate their emissions, as well as getting their commitment, took longer than expected. In 2025, we aim to be back on track to meet our 2026 target of 75% commitment from our top 80% suppliers (based on CO2e emissions) to reduce their CO2e footprint toward GHG neutrality. Become GHG neutral for scope 3 emissions related to purchased goods and services (including capital goods) by 2030 The base year is 2019, with scope 3 emissions related to purchased goods and services (including capital goods) of 2,841 kt. In 2024, total emissions due to purchased goods and services and capital goods were 5,032 kt CO2e. This increase from the baseline is due to growth of our business, which requires more purchases of goods and services. We expect future compensation for the supply chain emissions (remaining scope 3 categories 1, 2 and 4 emissions after reduction) to take place in the upstream value chain, at the level and expense of our suppliers. We track our performance through progress performance meetings with senior leadership and cross-functional table meetings in which progress toward our targets is reported. Purchased goods and services and capital goods (scope 3 categories 1 and 2) contribute to 92% of upstream emissions. Most of the remaining upstream emissions are from outbound logistics (scope 3 category 4). Read more in Sustainability statements – Environmental – Energy efficiency and climate action – Logistics STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 206 General disclosures Environmental Social Governance Energy efficiency and climate action: Purchased goods and services Performance indicator Unit 2024 Target Target date Status Commitment from our top 80% suppliers to reduce their CO2e footprint by 2030 % 9% 75% commitment 2026 Work to be done n Scope 3 emissions related to purchased goods and services (including capital goods) kt 5,032 GHG neutral 2030 Work to be done n


 
Our actions and resources We rely on strong partnerships with our suppliers and other upstream value chain partners to jointly reduce our carbon footprint and achieve our goal of GHG neutrality in our supply chain by 2030. We seek to engage with value chain partners who share our values and are dedicated to maintaining environmental standards. Engaging and collaborating with our suppliers Our SS&P ESG sustainability program is a key enabler in our efforts to reduce scope 3 emissions by actively engaging and collaborating with suppliers. Upskilling our supplier account teams in carbon literacy In 2024, we held knowledge sessions – informing and training our internal teams on GHG, the difference between scope 1, 2 and 3 emissions, what we request from our suppliers and how they can help – and created a training program for the Supplier Audit team. We also included the GHG capability maturity assessment questions in our supplier performance management system, enabling our Supplier Audit team to audit suppliers on their capability and maturity. Re-affirming supplier commitments to ESG We asked suppliers to sign our letter of commitment (LOC) – to commit and collaborate with us to achieve our ESG ambitions. By signing the LOC, suppliers agree to comply with a number of measures: to continue adhering to the latest version of the RBA Code of Conduct; to measure and share their CO2e emission data with ecosystem partners; to set ambitious targets to reduce or compensate CO2e emissions; and to collaborate with ASML and ecosystem partners to remanufacture used system parts, tools, packaging and other materials to maximize reuse. For the expected emission reduction of this action, we refer to our Climate Transition Plan. In 2024, our top 80% suppliers participated in (executive) review meetings and some of them signed the LOC, committing to reduce or offset part of their scope 1, 2 and 3 emissions by 2030. This would currently lead to a 9% reduction of our purchased goods & services emissions by 2030. We engaged all other suppliers through our bi-monthly online one-to-many forums, where on average 250 supplier representatives participate. Tackling energy efficiency and emissions industry-wide We increasingly cooperate cross-industry to reduce emissions across our value chain. In practice this means working with our supplier base and sharing our Supplier Handbook, and working with customers and peers, both directly and in cross-industry collaboration platforms – such as the SCC – to address energy efficiency and climate change issues within the industry, increase transparency and collaboration, and increase global access to renewable electricity. Read more about the SCC in Sustainability statements – Environmental – Energy efficiency and climate action – Product use The number of FTEs working for the SS&P ESG sustainability program increased from two to five in 2024. We expect this number to stay stable in 2025. The total estimated cost of €0.5 million relating to FTEs is included within the Consolidated financial statements under Selling, general and administrative costs. First step toward integrating carbon footprint in our product generation process To support the optimization of the design of our products, we analyzed the results of our first CO2e footprint estimate for one system in 2024. We are currently working on converting our new carbon insights into actionable items. Looking ahead In the coming years, we will focus on the following activities to reduce emissions in our supply chain: • Actively engaging with the top 80% of our suppliers and asking them to commit to reducing their carbon footprint by 2030, by improving energy efficiency in their production processes, using renewable energy and (as a last resort) offsetting • Collaborating with suppliers to improve their data quality on their CO2e emissions with the ambition to collect emission data from our top 100 suppliers • Introducing sustainability performance assessment as part of decision-making for new product introductions • Further expanding our training curriculum to both our internal teams and suppliers to help better understand and calculate scope 3 emissions • Following on from our first CO2e footprint estimate pilot in 2024, planning to build internal capabilities to perform life cycle assessments (LCAs) on our products, which will help us better understand which materials cause higher emissions in our supply chain – in turn helping us discover more collaboration and reduction opportunities. As long as we rely on spend-based emissions data, our calculated CO2e emissions will increase/decrease in line with our spend. We are collaborating with our suppliers to improve data quality based on actual input from suppliers, to improve their carbon footprint and switch to renewable energy. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 207 General disclosures Environmental Social Governance Energy efficiency and climate action: Purchased goods and services (continued)


 
Our scope For logistics, in scope are scope 3 GHG emissions related to transportation and distribution services purchased by ASML, including inbound logistics (such as transportation of materials, parts and modules from suppliers to our facilities), outbound logistics (such as transportation of products to customers), and logistics between our own facilities. Outbound logistics services purchased are categorized as ‘upstream’ because they are a purchased service. Included are GHG emissions related to freight – such as those from air freight, ocean freight and road transport – as well as the emissions caused by the use of our warehouses. Logistics covers scope 3 category 4. Read more on our scope 3 calculation methodology in Sustainability statements – Environmental – Energy efficiency and climate action – Additional disclosures – Methodology on metrics Why it matters: Impacts, risks and opportunities For logistics, we have identified the following: Impacts: Energy use and GHG emissions from purchased goods, services and logistics emissions (scope 3) Read more in Sustainability statements – Environmental – Energy efficiency and climate action – Purchased goods and services Risks and opportunities: Read more about climate-related risks and opportunities in Strategic report – Performance and risk – Risk and Sustainability statements – Environmental – Energy efficiency and climate action – Climate resilience analysis Targets and performance We have one target for our scope 3 emissions related to logistics: Become GHG neutral for scope 3 emissions related to logistics by 2030 The base year is 2019, with scope 3 emissions related to upstream transportation and distribution of 213 kt CO2e. In 2024 we began enhancing and substantiating the emissions data we receive from our logistics service providers per modality and product. This allows us to break down emissions and work together with the business on initiatives to reduce their impact. Our scope 3 emissions with regard to logistics in 2024 were 322 kt, with 306 kt coming from air transportation. This increase from the baseline is due to growth of our business, which requires more transportation and distribution. As outlined in the Purchased goods and services section, our SS&P ESG sustainability program supports our efforts to reduce scope 3 emissions by actively engaging and collaborating with suppliers. Thanks to this engagement, we have identified a number of logistics-related initiatives that will reduce our GHG emissions. In addition, specifically for logistics, we can also achieve significant emission reductions by rethinking preferred modes of transportation. We track our performance through progress performance meetings with senior leadership and cross- functional table meetings in which progress is reported toward our targets. Our actions and resources We are collaborating with our logistics suppliers to improve data quality. In addition, we are investigating options to move toward more sustainable modes of transportation – for example, from air to ocean freight – and to buy sustainable aviation fuel (SAF) where ocean freight is not possible. Rethinking shipping routes In 2024, we made progress with efforts to avoid shipping all products centrally from Veldhoven in the Netherlands to our global customers, along with initiatives aimed at sourcing more materials locally. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 208 General disclosures Environmental Social Governance Energy efficiency and climate action: Logistics Performance indicator Unit 2024 Target Target date Status Scope 3 emissions related to upstream transportation and distribution kt 322 GHG neutrality 2030 Work to be done n


 
Aiming for more sustainable and cost- effective transportation modes Our long-term transport vision is to move to ocean freight where possible and feasible, reducing our GHG emissions significantly. Switching our transportation flows from air to ocean has the potential to achieve a 70– 85% cost reduction opportunity and a 95% CO2e reduction per kilogram shipped. Our customers acknowledge the importance of more sustainable transportation, but also express their concerns regarding increased transit times and risk of cargo damage. Through pilot projects, we are working with our freight teams and customers to drive this transition. With our cross-company, cooperative approach to multiple ocean freight initiatives, we realized several successes in 2024. In DUV, reticle-stage packaging returned to Wilton saved us about 11 kt CO2e. Tools and packaging used for system shipments returned to Veldhoven resulted in approximately 50 kt CO2e savings. With regard to our metrology and inspection systems, in 2024 we saved 0.15 kt for packaging returned to Linkou from customers in Asia. We shipped a YieldStar 100 system in a temperature-controlled reefer container from Taiwan to Veldhoven, repurposed for the ASML Experience Center. In 2024, we also transported our first new DUV and YieldStar systems to a customer by ship. Finally, we kicked off our air-to-ocean transport initiatives with freight cost reduction targets in the business in 2025. To support the move to more sustainable transport and shipping modes, we have also made an initial pilot investment in SAF – in advance of future EU regulations ('ReFuelEU') which will require all airlines to use them. This pilot will reduce our CO2e emissions by 4.5 kt – 1.4% of our total freight emissions for 2024. We report and monitor our logistics-related emissions via our CO2e dashboard and discuss them quarterly in our ESG cross- functional table meeting. We will engage with both suppliers and customers on options to change transportation modes where possible from flight to ocean freight, and will engage with our logistics partners to buy more SAF for any transportation and distribution still done by airplane. Resources To make it possible to move from air to ocean freight for modules and systems, we developed a special container to safely transport modules overseas. Furthermore, because of the increasing lead time due to ocean returns of containers, we agreed with our forwarders to increase the number of our leased ocean containers by three, leading to higher yearly capital expenditure of €0.3 million to keep up the transportation pace. 14 FTEs are dedicated to working on the air-to-ocean project. In addition to the ASML reefer containers, we have budgeted €10 million of investments in a pool of transport tools to support ocean-to-air projects. This leads to an increased capex of approximately €13 million in 2025. The total estimated cost of €2.0 million relating to FTEs is included within the Consolidated financial statements under Personnel expenses. In 2025, to reach our ocean freight goals, we expect our forwarders to increase the number of our leased ocean containers to 30. We expect the number of FTEs to stay stable. For SAF usage in logistics, we have agreements in place with all our forwarders whereby one or both parties spend a small percentage of the annual air-freight cost or revenue attributable to ASML in SAF. In 2024, this had led to ASML spending €0.4 million on SAF while €1.6 million worth of SAF is used for our air freight. The opex regarding leased containers and SAF is included within the Consolidated financial statements under Cost of sales. We emphasize that the investments made for more sustainable transportation modes are also driven by (future) cost-effectiveness. In 2025, we expect to invest €2.7 million in new containers and expect the SAF spend to increase in line with our business growth. We have not assessed our SAF expenditure under EU Taxonomy activity 6.19 Passenger and freight air transport, because we do not operate the air freight ourselves. Read more in Sustainability statements – Environmental – EU Taxonomy Looking ahead We are taking the first steps toward our target of achieving GHG neutral scope 3 emissions for logistics by 2030. Toward 2025, we expect a reduction of CO2e emissions due to improved, more accurate emissions data from our logistics partners – as well as the reduction actions we take in collaboration with them. To further reduce the emissions from logistics operations, in the coming years we will be focusing on: • Investigating the possibility of changing transportation modes from flight to ocean freight, including designing containers to ensure safe transportation • Purchasing SAF to reduce emissions from air transportation and distribution • Investigating the possibilities to reduce the emissions of the warehouses we use worldwide and the trucks used for the last mile We also expect to capitalize on the initiatives that have already begun, although, as these projects signal major change, we do not expect the required scope 3 emissions reduction will be realized immediately. Time will be required for preparation and adoption. To reach our GHG neutrality target by 2030, we are amongst others dependent on compensation of the residual emissions by our logistics partners. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 209 General disclosures Environmental Social Governance Energy efficiency and climate action: Logistics (continued)


 
Our scope In scope are scope 3 emissions from transportation of our employees and the 'N1-conversion' category of non-employees for business-related activities in vehicles owned or operated by third parties, such as aircraft, trains, buses and passenger (rental) cars. Hotel stays are also included. Read more on our scope 3 calculation methodology in Sustainability statements – Environmental – Energy efficiency and climate action – Additional disclosures – Methodology on metrics Why it matters: Impacts, risks and opportunities For business travel, we have identified the following: Impacts: 1 Energy use and GHG emissions from business travel and commuting (scope 3) Risks and opportunities: Read more about climate-related risks and opportunities in Strategic report – Performance and risk – Risk and Sustainability statements – Environmental – Energy efficiency and climate action – Climate resilience analysis Targets and performance We have one target for our scope 3 emissions related to business travel: Become GHG neutral for scope 3 emissions from business travel by 2025 In 2019 (our base year), our business travel emissions were 97 kt CO2e. In 2024, taking into account a new round of travel budget reduction and sustainable aviation fuel (SAF) purchases, our total emissions due to business travel were 65 kt. Our actions and resources In 2024, we focused on reducing our business-travel-related emissions by applying a strict need-to-travel policy, increasingly using train travel, electric vehicles and SAF for air travel. On a global scale, we: • Reduced travel budgets per FTE • Stimulated green travel modes by encouraging employees to use train travel for specific destinations such as Berlin and London, and switching to the use of electric vehicles in our rental car program in Veldhoven • Reduced residual emissions by purchasing SAF for part of our global business journeys by plane In the Netherlands, we signed the Dutch Business Sustainable Mobility Pledge,1 which commits us to achieving a gross emission reduction from business travel of 50%. 1. In the Dutch ‘Anders Reizen’ coalition, around 70 organizations representing more than 550,000 employees in the Netherlands have signed up for the Dutch Business Sustainability Mobility Pledge, which sets out the ambition of the front runners of the Dutch business community to explore the potential of a sustainable shift in business mobility toward the solution to climate change. The main, shared ambition is to reduce CO2e emissions from business travel by 50% in 2030 against the base year 2016. Due to data availability, we use a (updated) base year of 2019 rather than 2016. With emissions of 1.48 t per FTE in 2024, we met our commitment of reducing 50% compared to our base year value of 3.88 t per FTE in 2019. We aim to keep the emissions per FTE below current levels, with a continued emphasis on seeking additional improvements. Our employees are affected by these actions, as they will be stimulated to travel in more sustainable ways – considering travel modes and limiting business travel if not necessary. Society is positively affected by these actions, as they will lower our CO2e emissions and environmental impact. To assess the effects of these actions, we have cross-functional table meetings in which we report progress against our business travel and commuting targets. In addition, a CO2e emissions dashboard is available to indicate to what extent CO2e emissions need to be reduced by SAF purchases to meet our targets and – from 2025 – how much needs to be compensated by carbon credits. We expect the voluntary emission reduction certificates (VERs) to be purchased for our business travel to be in line with the emissions of the current year. Resources From all our initiatives in this key action, we can only directly relate our financial investments in SAF to the achievements toward our GHG emission-reduction targets for business travel. In 2024, we contributed 3.6 million to the SAF program of the business travel airline, which is included within the Consolidated financial statements under Selling, general and administrative costs. In 2025, we expect to spend a similar amount. We have not assessed our SAF expenditure under EU Taxonomy activity 6.19 Passenger and freight air transport, because we do not operate the transport ourselves. Read more in Sustainability statements – Environmental – EU Taxonomy Looking ahead We continue to have a strict ‘need-to-travel’ policy, and investigate opportunities to reduce travel even more. In addition, we plan to continue our existing strategy of buying SAF to decrease our GHG emissions from business travel. Where there are no alternatives, as of 2025 we aim to offset our residual emissions from employee commuting and business travel by purchasing VERs. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 210 General disclosures Environmental Social Governance Energy efficiency and climate action: Business travel Performance indicator Unit 2024 Target Target date Status Scope 3 emissions related to business travel kt 65 GHG neutrality 2025 Work to be done n


 
Our scope In scope are emissions from the transportation of (fixed) employees between their homes and their worksites. Read more on our scope 3 calculation methodology in Sustainability statements – Environmental – Energy efficiency and climate action – Additional disclosures – Methodology on metrics Why it matters: Impacts, risks and opportunities For employee commuting, we have identified the following: Impacts: Energy use and GHG emissions from business travel and commuting (scope 3) Risks and opportunities: Read more about climate-related risks and opportunities in Strategic report – Performance and risk – Risk and Sustainability statements – Environmental – Energy efficiency and climate action – Climate resilience analysis Targets and performance We have one target related to reducing our emissions from employee commuting (scope 3 category 7): Become GHG neutral for scope 3 emissions from employee commuting by 2025 We have reduced commuting emissions (predominantly related to commuting by car) from 42 kt CO2e in 2019 (our base year) to 36 kt CO2e in 2024, despite both the business and number of employees growing. We have been promoting a balanced working-from-home policy and we developed a mix of sustainable commuting options for our employees and we are encouraging people to travel to work by bicycle or public transport. Alongside this, we provide shuttle bus services from park- and-ride locations and offer satellite offices in the Netherlands. We have also conducted a survey on travel modes among employees of seven representative locations, to get a better understanding of the actual transport modes used to travel to our offices and update our calculation methodology and baseline value accordingly. We plan to compensate residual emissions from business travel and employee commuting as of 2025 to meet our target. Our actions and resources Gaining more insight with our global decarbonization project To close the target gap for employees globally, we are: • Improving data quality and insights of employee commuting emissions worldwide • Discussing the possibility of extending the ambition of the Dutch Business Sustainable Mobility Pledge to our other locations worldwide • Exploring additional reduction initiatives worldwide In 2024, we started an employee commuting decarbonization project across seven representative locations to better understand commuting habits, reduce emissions and promote greener commute modes – not only in the Netherlands, but in our operating regions worldwide. Input from employees provided us with insights into their preferences in low-carbon modes of transport. These insights will likely lead to targeted interventions to further reduce commuting emissions in later years, so that our employees can contribute to a sustainable future while enjoying tailored solutions that prioritize convenience and environmental responsibility. We report and monitor our commuting- related emissions via our CO2e dashboard and discuss them quarterly in our ESG cross-functional table meetings. Dutch Business Sustainable Mobility Pledge In the Netherlands, we signed the Dutch Business Sustainable Mobility Pledge 2030, which also applies for gross emission reduction from commuting. We provided national railway commuting cards to employees to stimulate travel to the office by public transport. In addition, we provided sufficient vehicle charging options, as well as campus e-bikes and on-demand shuttle buses for inter-campus transportation. To stimulate the use of bicycles for commuting, we increased the cycling reward from €0.21 to €0.35 per kilometer, and for international colleagues not used to riding a bike, we offered cycling lessons. With emissions of 0.81 t per FTE in 2024, we already met our 2030 commitment of reducing 50% compared to our base year value of 1.69 t per FTE in 2019. Employees are affected by these actions, as they will be stimulated to commute in more sustainable ways. Society is affected by these actions, as they will lower our CO2e emissions and environmental impact, while also releasing pressure on road infrastructure and congestion. At ASML, 2 FTEs are working full-time for the commuting decarbonization project. The total estimated cost of €0.3 million are included within the Consolidated financial statements under Selling, general and administrative costs. In 2024, we expensed €1.1 million for the lease of 1,000 campus e- bikes, and we invested €1 million in EV chargers. The investments are included in the Consolidated financial statements under 'Property, plant and equipment'. We do not expect significant emission reduction to result from this action for 2025 because of our expected growth in headcount. Looking ahead We aim to keep the emissions per FTE below current levels, with a continued emphasis on seeking additional improvements. Based on the lessons learned from the commuting decarbonization project across seven representative locations, we aim to set up targeted interventions in both the Netherlands and other operating countries to reduce our emissions from commuting. Examples are exploring opportunities to increase the adoption of electric vehicles and organize for related infrastructure. In order to achieve our GHG neutrality ambition in 2025, where there are no alternatives, we aim to offset our residual emissions from employee commuting by purchasing VERs, which we expect to be in line with current-year emissions. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 211 General disclosures Environmental Social Governance Energy efficiency and climate action: Employee commuting Performance indicator Unit 2024 Target Target date Status Scope 3 emissions related to employee commuting kt 36 GHG neutrality 2025 Work to be done n


 
Our scope In scope are expected lifetime emissions from the use of goods and services we sell: EUV and DUV lithography systems and metrology and inspection systems. Our scope 3 emissions from the use of sold products relate to scope 3 category 11. Read more on our scope 3 calculation methodology in Sustainability statements – Energy efficiency and climate action – Additional disclosures – Methodology on metrics Why it matters: Impacts, risks and For product use, we have identified the following: Impacts: Energy use and GHG emissions from product use (scope 3) Risks and opportunities: Read more about climate-related risks and opportunities in Strategic report – Performance and risk – Risk and Sustainability statements – Environmental – Energy efficiency and climate action – Climate resilience analysis The largest portion of our (indirect) GHG emissions arises during use of our systems at customers’ factories. In order to reduce those emissions, we aim to: Targets and performance Achieve a 10% decrease in absolute equivalent power consumption (MW) of our 0.33 NA EUV (NXE) systems by 2025 In 2024, based on the latest measurement of the TWINSCAN NXE:3800E, equivalent power consumption was 1.31 MW – a reduction of 9% versus the 2018 baseline figure of 1.44 MW. Compared to 2023, the absolute power consumption increased, due to the increase of power required to boost productivity from 160 wafers per hour in 2023 to 220 wafers per hour in 2024 – the latter demonstrated in our factory. The increase in energy consumption is partly offset by reduction innovations released in 2024, like RF sleep mode. We are advancing our product sustainability roadmaps throughout our product lines, aligning and synergizing ongoing projects while ensuring they will be implemented within envisioned timings. Given the current absolute equivalent power consumption trajectory, we expect to achieve our target of 10% reduction by 2025. Our EUV product roadmap includes future improvements for both existing (installed base) and planned NXE lithography systems. We are actively contributing to and driving collaboration on sustainability within the semiconductor industry. Our strong involvement in driving adoption of high- temperature process cooling water (HTPCW) has contributed to making this an industry standard for future semiconductor fabs. Our factory also investigated HTPCW compatibility with pre-vacuum suppliers, leading to HTPCW compatibility of pre- vacuum pumps for all major suppliers. In 2024, our pre-vacuum suppliers adopted HTPCW. When implemented by our customers – for example from the TWINSCAN NXE:4000 system onward, which has a drive laser that is HTPCW- compatible – this could save ~100 kW, representing ~8% of total equivalent power consumption per system. Achieve a 60% decrease in equivalent energy consumption (kWh/wafer) of our 0.33 NA EUV (NXE) systems by 2025 Based on the latest measurement of the TWINSCAN NXE:3800E, energy use per wafer pass was 5.9 kWh/wafer – versus our 2025 target of 5.1 kWh/wafer – showing an improvement from the last measurement taken in 2023 of 7.7 kWh/wafer. For the NXE:3800E, the total power consumption increased slightly with 0.08 MW to 1.31 MW compared to the NXE:3600D in 2023 even while productivity increased from 160 to 220 wafers per hour. This results in the decrease of energy per wafer pass from 7.7 to 5.9 kWh. This is a reduction of 54% against our target reduction of 60% against our 2018 baseline of 12.8 kWh/wafer. While we have made significant progress, shifts in the EUV product roadmap scope impacted our trajectory. The 2025 target of 60% decrease in energy use per wafer pass will not be fully achieved within the intended time frame. The technical groundwork we have already laid gives us confidence that we are well positioned to achieve this target by 2027. Our challenge to reduce the emissions from the use of sold products In 2024, total emissions from the use of sold products were 6,569 kt CO2e, of which EUV accounted for 2,811 kt CO2e, DUV for 3,501 kt CO2e, and metrology and inspection systems for 256 kt CO2e. Scope 3 emissions from product use G H G e m is si on s (in k t C O 2e ) 6,569 2,599 2,670 831 EXE NXE NXT PAS XT YieldStar HMI 2024 0 1,000 2,000 3,000 4,000 5,000 6,000 7,000 8,000 Scope 3 CO2e emissions (in kt) as a result of product use by our customers for each of our product categories STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 212 General disclosures Environmental Social Governance Energy efficiency and climate action: Product use Performance indicator Unit 2024 Target Target date Status Power consumption (NXE) (reduction in % of baseline 2018 1.44 MW) % (9) % (10) % 2025 On track ò Energy use per wafer pass (NXE) kWh 5.9 5.1 2025 Off track pEnergy use per wafer pass (NXE) (reduction in % of baseline 2018 12.8 kWh) % (54)% (60) %


 
Between 2019 and 2024, the total emissions from the use of sold products have increased from 4,374 kt CO2e to 6,569 kt CO2e, primarily due to the annual increase in sales volumes and partly offset by our methodology update: in previous years we estimated the emissions caused by products used by our customers by using general location-based emissions factors. Based on publicly available data from the Carbon Disclosure Project (CDP), we have been able to calculate actual emission factors from our five largest customers. This update in methodology resulted in a decrease of 18% (1,600 kt). The baseline values are updated accordingly. We see that the energy used per wafer pass for EUV has decreased between 2020 and 2024 – our machines in general are becoming more energy efficient per output measure, confirming that we are working on the right actions towards our energy efficiency targets. Our actions and resources As demand for enhanced chip functionality grows, the complexity and energy consumption of the overall microchip patterning process – including that of our products – is increasing. When we design new systems, we increasingly focus on reducing energy consumption and cost while increasing performance and availability. Our energy reduction plans are an integrated part of the product and technology roadmaps we have in place for our total product portfolio. The EUV light source receives significant focus in our engineering efforts, as it accounts for the largest share of the total energy consumption of an EUV system. We have also set internal targets for reducing the emissions of our DUV machines – measuring and monitoring the energy use per exposed wafer in kWh and the absolute (equivalent) power consumption in kW compared to baseline values, so we can track the effectiveness of our policies and actions. The metrics on DUV immersion and DUV dry are included in the metrics table of this section. We have internal roadmaps on the energy use per exposed wafer pass for our DUV machines, which are closely monitored by all relevant teams. In addition, we have started to better assess the energy efficiency of metrology and inspection systems. We’re working with peers and partners to accelerate efforts to reduce GHG emissions, share knowledge and technology, and stimulate the adoption of renewable energy worldwide toward reaching our ambition to achieve GHG neutrality in 2040. Continuously improving our product roadmaps We continue working on energy efficiency improvements for our (future) products, which requires long lead times and takes multiple years to achieve. Energy-saving roadmaps have been developed for all product categories by our design and engineering teams – and, during 2024, we have further developed and detailed these roadmaps toward 2030. For the expected emission reduction of this key action, we refer to our Climate Transition Plan. We monitor and keep track of progress during quarterly cross-functional table meetings and we use the SEMI S23 standard – the Guide for Conservation of Energy, Utilities and Materials Used by Semiconductor Manufacturing Equipment – as a tool to measure and analyze energy, utilities and materials used. It is a positive trend that both internal stakeholders and our customers are increasingly aware of the energy consumption of our products. The prioritization of related aspects at a product system engineering level is speeding up progress on our targets. Alongside our energy efficiency roadmaps, the gradual increase in renewable energy uptake by our customers is instrumental in helping to reduce our product use emissions. Progressing our EUV product roadmaps We are implementing energy efficiency improvements in our EUV NXE product development process according to our roadmap, which includes plans for turning the CO2 drive laser off when it is not needed during production, and making changes in the application of low- and high-temperature cooling water and the reduction of hydrogen consumption. We have been progressing our long-term roadmap. In 2024, we introduced the first sleep mode deliverable, called RF Sleep Mode, which has been tested by customers – confirming ASML's own measurement of ~400kW instant saving in system power consumption when the system is in sleep mode. Such a feature can be back ported to the existing installed base, which we started to roll out in the later stages of 2024. We shipped our first TWINSCAN NXE:3800 system in 2024, providing continuous energy savings. Progressing our DUV product roadmaps In 2024, we significantly increased customer engagement – in both the advanced and mature market segments – with the aim of developing joint roadmaps toward GHG neutrality. Although it will not directly lower our scope 3 emissions, we are also focusing on improvements related to the installed base. We introduced an installed base sustainability roadmap, including software- and hardware-related upgrades to reduce energy consumption and CO2 emissions from immersion hoods for the customers' installed base. This roadmap further enables our customers’ GHG reduction ambitions. We introduced clear governance with regard to Sustainability Product Use in Portfolio and Product Management, to accelerate on the GHG emission reduction targets. For DUV, we have set up an energy reduction roadmap in 2024 for both new systems and the installed base. Metrics will be absolute power use reduction, energy consumption per wafer pass and carbon footprint. This roadmap includes software- and hardware-related upgrades, which directly contribute to our customers' ambitions in energy reduction. We expect to release the first immersion system upgrade on energy efficiency to the market in 2026. Computational lithography and metrology and inspection For our metrology and inspection systems, we continue to explore possible energy- saving initiatives. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 213 General disclosures Environmental Social Governance Energy efficiency and climate action: Product use (continued)


 
Resources Following our product roadmaps, we innovate across our entire product portfolio through strong investments in R&D. When we design new systems, we increasingly focus on reducing energy consumption and cost, while increasing performance and availability. The R&D costs are therefore not solely attributable to our GHG emission- reduction targets, but our product roadmaps always aim to contribute to ASML's strategic goals. With the inclusion of the Circular Economy objective under the EU Taxonomy Regulation as of 2024, the R&D costs related to the design and manufacturing of our products are reported as eligible opex under the target activity CE 1.2 Manufacture of electrical and electronic equipment. When the R&D costs are capitalized under IFRS, it is part of the EU Taxonomy capex KPI. In line with prior years, we aim for R&D costs to be in the 10–15% range of revenue in future years. The incremental part of the financial resources directly contributing to the achievement of our product use energy- reduction targets cannot be derived from our total R&D costs. Looking ahead We will continue to work on the energy efficiency of our systems and other product families. For our EUV systems, we plan to deliver LSM (Turbo Pumps) Sleep Mode, which is part of our overarching Sleep Mode product family (TWINSCAN NXE:3800). This feature will enable further energy reduction toward our 5.1 kWh/wafer target. As part of an overall semiconductor industry initiative, several customers confirmed the implementation of HTPCW in future fabs (moving from ~16–18°C toward higher temperatures, up to 32°C), catering for the next-generation TWINSCAN NXE:4000 – which is envisioned to lower the power consumption by ~100 kW. For DUV, we actively engage with our customers on our product roadmaps for both ASML's and our customers’ GHG neutrality ambitions. We will also expand engagement with our customers on our DUV roadmaps in the coming years to jointly plan and act to meet our ambitions. Semiconductor Climate Consortium (SCC) We are a founding member of the SCC. Established in November 2022, the SCC aims to address the challenges of climate change and speed up the industry’s efforts to reduce GHG emissions throughout the value chain. The consortium’s members are committed to working toward the following pillars and objectives: • Transparency – Publicly report progress and scope 1, 2 and 3 emissions annually • Ambition – Set near- and long-term decarbonization targets with the aim of reaching GHG neutrality by 2040 • Collaboration – Align on common approaches, technology innovations and communication channels to continuously reduce GHG emissions The SCC is ultimately responsible for monitoring and reviewing progress toward these ambitions. In 2023, the SCC published an in-depth analysis of the semiconductor value chain’s carbon footprint and priority-ranked carbon emission sources for the industry. This acts as the baseline for value chain emissions. We are one of the leading industry forces addressing climate change and speeding up efforts to reduce GHG emissions throughout the entire value chain. We are co-leading the BAR (Baselining, Ambition-Setting and Roadmapping) consortium working group and are actively participating in other working groups by sharing data and information and facilitating sessions. Customers, ICT and society While we measure and aim to reduce the impacts of our operations, supply chain and product use, ASML’s climate impacts extend far beyond these areas to include the benefits and risks that our technology brings to society. The technology pioneered by our R&D teams and partners sits at the heart of global digitalization and has the potential to transform how we all live and work. We enable our customers to innovate the semiconductor technologies that can help humanity manage its challenges and seize opportunities by facilitating sustainable living and e-mobility, accessible healthcare, food security and the transition to renewable energy. On the other hand, we acknowledge the effects of digital technologies that increase energy demand, such as artificial intelligence (AI), internet of things (IoT), blockchain and cryptocurrency mining. In collaboration with the industry, we aim to have a better understanding of the GHG emissions caused by the use of our customers’ products. We do this, for example, via the SCC, where we actively engage with our customers on climate- related matters. We don't measure emissions downstream beyond our customers and have no targets on these, because this is outside the scope of our GHG reporting boundary. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 214 General disclosures Environmental Social Governance Energy efficiency and climate action: Product use (continued)


 
Retrospective Milestones and target years Topic Description Unit Base year 2019 2024 Target year 2025 Target year 2030 Target year 2040 Scope 1 GHG emissions Gross scope 1 GHG emissions ktCO2e 22.4 23.5 Scope 1 GHG emissions from regulated emissions trading schemes % N/A Scope 2 GHG emissions Gross location-based scope 2 GHG emissions ktCO2e 145.0 228.2 Gross market-based scope 2 GHG emissions ktCO2e 37.8 9.3 Subtotal of gross scope 1 and market-based scope 2 GHG emissions ktCO2e 60.2 32.8 45.0 15.0 6.0 Significant scope 3 GHG emissions Total gross indirect (scope 3) GHG emissions ktCO2e 7,578.0 12,038.8 15,700.0 19,500.0 2,300.0 1 Purchased goods and services ktCO2e 2,545.8 4,414.6 2 Capital goods ktCO2e 294.9 617.6 3 Fuel and energy-related activities (not included in scope 1 or scope 2) ktCO2e 10.3 13.4 4 Upstream transportation and distribution ktCO2e 213.1 321.9 5 Waste generated in operations ktCO2e 0.8 1.6 6 Business traveling ktCO2e 96.7 65.1 7 Employee commuting ktCO2e 42.2 35.6 11 Use of sold products ktCO2e 4374.1 6,568.8 12 End-of-life treatment of sold products ktCO2e 0.1 0.2 Scope 3 GHG emissions calculated using primary data % 2.5% Total GHG emissions Total GHG emissions (location-based) ktCO2e 12,290.5 Total GHG emissions (market-based) ktCO2e 12,071.6 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 215 General disclosures Environmental Social Governance Energy efficiency and climate action: Metrics table


 
Topic Description Unit 2024 Energy consumption (1) Fuel consumption from coal and coal products MWh 0 (2) Fuel consumption from crude oil and petroleum products MWh 690 (3) Fuel consumption from natural gas MWh 102,815 (4) Fuel consumption from other fossil sources MWh 0 (5) Consumption of purchased or acquired electricity, heat, steam and cooling from fossil sources MWh 17,517 (6) Total fossil energy consumption (calculated as the sum of lines 1–5) MWh 121,022 Share of fossil sources in total energy consumption % 20.8% (7) Consumption from nuclear sources MWh 3,094 Share of consumption from nuclear sources in total energy consumption % 0.5% (8) Fuel consumption for renewable sources, including biomass (also comprising industrial and municipal waste of biological origin, biogas, renewable hydrogen, etc.) MWh 0 (9) Consumption of purchased or acquired electricity, heat, steam and cooling from renewable sources MWh 457,368 (10) The consumption of self-generated non-fuel renewable energy MWh 760 (11) Total renewable energy consumption (calculated as the sum of lines 8–10) MWh 458,128 Share of renewable sources in total energy consumption % 78.7% Total energy consumption (calculated as the sum of lines 6, 7 and 11) MWh 582,244 Topic Description Unit 2024 Energy intensity per net revenue1 Total energy consumption from activities in high climate impact sectors per net revenue from activities in high climate impact sectors (MWh/€m revenue) 20.6 Topic Description Unit 2024 GHG intensity (total GHG emissions from scope 1, 2 and 3) per net revenue1 Total GHG emissions (location-based) per net revenue (tCOeq/ (€m revenue) 435 Total GHG emissions (market-based) per net revenue (tCOeq/ (€m revenue) 427 1. Net revenue derived from Financial statements – Consolidated financial statements – Consolidated statement of profit or loss – Total net sales Topic Description Unit 2024 Energy attribute certificates Guarantees of Origin (GOs) MWh 313,250 Renewable energy certificates (RECs) MWh 110,501 International renewable energy certificates (I-RECs) MWh 3,786 Taiwan renewable energy certificates (T-RECs) MWh 20,463 Korea renewable energy certificates (K-RECs) MWh 8,000 Total energy attribute certificates MWh 456,000 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 216 General disclosures Environmental Social Governance Energy efficiency and climate action: Metrics table (continued)


 
Platform DUV immersion System type NXT:1980Di NXT:2050i NXT:1980Ei NXT:1960Bi + PEP-B NXT:2100i NXT:1980Fi NXT:2150 Year of energy measurement 2015 2020 2021 2021 2022 2023 2024 Power consumption (in MW) 0.16 0.16 0.16 0.15 0.16 0.17 0.17 ATP throughput (in wph) 275 295 295 250 295 330 310 Energy use per wafer pass (in kWh) 0.59 0.54 0.56 0.60 0.55 0.52 0.55 Platform DUV dry System type XT:1460 NXT:1470 XT:860N NXT:870 XT:400M Year of energy measurement 2020 2020 2022 2022 2023 Power consumption (in MW) 0.07 0.13 0.07 0.13 0.07 ATP throughput (in wph) 209 277 260 330 250 Energy use per wafer pass (in kWh) 0.34 0.47 0.27 0.38 0.30 Platform YieldStar HMI System type YS375F YS380 YS385 YS500 eScan1100 eP5XLE eP6 Year of energy measurement 2019 2020 2023 2024 2023 2024 2024 Power consumption (in MW) 0.01 0.01 0.01 0.01 0.06 0.02 0.01 ATP throughput (in wph) n/a n/a n/a n/a n/a n/a n/a Energy use per wafer pass (in kWh) n/a n/a n/a n/a n/a n/a n/a Platform EUV 30 mJ/cm2 dose System type NXE:3400B NXE:3400C NXE:3600D NXE:3600D NXE:3800E Year of energy measurement 2018 2020 2021 2023 2024 Power consumption (in MW) 1.44 1.31 1.32 1.23 1.31 ATP throughput (in wph) 112 136 160 160 220 Energy use per wafer pass (in kWh) 12.8 9.6 8.3 7.7 5.9 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 217 General disclosures Environmental Social Governance Energy efficiency and climate action: Metrics table (continued)


 
Methodology on targets In this section, we elaborate on the methodology and assumptions used in formulating our targets and indicators related to our ESG theme Energy efficiency and climate action. As part of our climate ambitions, we have developed net and gross emission reduction targets. Net emission reduction targets may include carbon offsets/carbon credits – these targets align with our ambitions to become GHG neutral by 2025, 2030 and 2040 for different emission categories. Gross emission reduction targets do not include carbon offsets/carbon credits and provide insight into emission reductions achieved by reducing energy usage and switching to renewables. In addition, we make a distinction between absolute targets for our scope 1 and 2 emissions and intensity targets for our scope 3 emissions. Absolute emission-reduction targets provide insight into the total emissions, and intensity targets are relative to an economic metric for which ASML uses the ‘unit of value added’ (gross profit). In line with guidance from SBTi and ESRS, ASML has set absolute targets for its scope 1 and 2 emissions and intensity targets per €m gross profit for scope 3 emissions. Lastly, we have developed some additional topic- specific targets that support us in driving actions to reduce our CO2e emissions. The above methodology results in the following set of targets: GHG neutrality targets Become GHG neutral for scope 1 and 2 emissions from our manufacturing and buildings by 2025 This target is measured in kilotonnes (kt) CO2e. To calculate scope 2 GHG emissions included in the target, we use the market-based method. The baseline value for this target is the gross scope 1 and 2 emissions of 60 kt in the base year 2019. As of 2024, we report on all buildings owned or leased by ASML. The baseline value has been updated accordingly. We consider the 2019 base year to be most representative, as for the years after, the energy consumption of our offices is impacted by the COVID-19 pandemic. Become GHG neutral for scope 3 emissions from business travel (category 6) and employee commuting (category 7) by 2025 This target is measured in kt CO2e. The baseline value for the business travel target is the gross scope 3 category 6 emissions of 97 kt in the base year 2019. The baseline value for the commuting target is the gross scope 3 category 7 emissions of 42 kt in the base year 2019. We consider the 2019 base year to be most representative, as for the years after, the business travel and commuting emissions are heavily impacted by the COVID-19 pandemic. For the employee commuting target, in the 2019 base year we only modeled emissions from employee commuting in detail for the Veldhoven campus in the Netherlands – for example, by distinguishing different transport modes and registering actual commute days. For other locations around the world where we operate, as a generalization we assumed that everyone commutes by car every day. In 2024 we have obtained more accurate data for some of these other locations and the granularity of this data will be further extended to all our locations worldwide in the coming years to improve our methodology. This may lead to updating our baseline value accordingly in the future. Become GHG neutral for scope 3 emissions related to purchased goods and services including capital goods (categories 1 & 2) and logistics (category 4) by 2030 This target is measured in kt of CO2e. The baseline value for the purchased goods and services target is the gross scope 3 category 1 and 2 emissions of 2,841 kt in the base year 2019. The baseline for the logistics target is the gross scope 3 category 4 emissions of 213 kt in the base year 2019. We consider the 2019 base year to be most representative, as for the years after, our operations are impacted by the COVID-19 pandemic. The 2019 base year is only representative to a certain extent, as an 'external factor' is our continuing growth, making absolute reductions in gross emissions difficult. However, we report the values and our efforts to achieve scope 3 emission reductions to minimize the required amount of offsetting toward 2030. In 2024, we started a project to request CO2e emissions data directly from our suppliers – which will lead to a more accurate calculation of our CO2e emissions related to purchased goods and services (including capital goods) in the future. For logistics, as of 2024, our emissions are based on data directly received from our logistics partners. Become GHG neutral for all scope 3 emissions (all categories) by 2040 This target is measured in megatonnes (Mt) CO2e. The baseline value for this target is the gross scope 3 emissions of 7.6 Mt in the base year 2019. We consider the 2019 base year to be most representative, as for the years after, our operations are impacted by the COVID-19 pandemic. The base year is representative, as the emissions per unit of gross profit can be considered 'normalized for growth'. This target covers both the upstream and downstream parts of the value chain, following the definitions according to the GHG Protocol. E1-4 Gross emission reduction targets Reduce gross scope 1 and 2 emissions by 25.2% by 2025 as compared to the base year 2019 (SBTi near- term target) This target is measured in kt CO2e. The baseline value for this target is the gross scope 1 and 2 emissions of 60 kt in the base year 2019. The target translates into an absolute target value of 45 kt. As a specific pathway for the ICT sector does not yet exist, this target has been set by SBTi using the 'other industries' pathway. We are included in the SBTi’s externally published list. While analyzing feasibility, we have taken into account our expected future growth toward 2025 and beyond in terms of required manufacturing and office space. Reduce gross scope 1 and 2 emissions by 75% by 2030 as compared to the base year 2019 This target is measured in kt CO2e. The baseline value for this target is the gross scope 1 and 2 emissions of 60 kt in the base year 2019. The target translates into an absolute target value of 15 kt. This target has been set by taking the SBTi 'other industries' pathway into consideration, choosing an even more ambitious pathway. This target has been set based on an internal feasibility assessment, taking into account the 2026–2030 energy savings master plan that is currently under development. Reduce gross scope 1 and 2 emissions by 90% by 2040 as compared to the base year 2019 This target is measured in kt of CO2e. The baseline value for this target is the gross scope 1 and 2 emissions of 60 kt in the base year 2019. The target translates into an absolute target of 6 kt. This target has been set by SBTi using the 'other industries' pathway. Reduce gross scope 3 GHG emissions by 35.3% per €m gross profit by 2025 from a 2019 base year (SBTi near- term target) This target is measured as scope 3 emissions intensity in kt CO2e per €m gross profit. The target equals 0.93 kt/€m gross profit in 2025. In order to achieve our intensity reduction target by 2025, we aim for CO2e emissions below  15.7 Mt by 2025. It covers both the upstream and downstream parts of the value chain, following the definitions according to the GHG, and exclusively pertains to scope 3 emissions – which typically constitute around 99% of our total value chain emissions. The baseline value in 2019 was 7.6 Mt CO2e, with a value of 1.44 kt/€m gross profit. The absolute target was derived from scope 3 emissions intensity reduction according to the SBTi ‘other industries’ pathway (7% year-on-year reduction), combined with guidance for our gross profit in 2030 based on Investor Day 2024 information. We use the mid-scenario of the gross profit outlook to balance the assumptions used. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 218 General disclosures Environmental Social Governance Energy efficiency and climate action: Additional disclosures


 
Using 2019 as a base year is only partially representative, as our continuing growth serves as an 'external factor' that complicates efforts to achieve absolute reductions in gross emissions. The same applies to any other recent base year, yet we transparently report the values and our efforts to achieve real (gross) reductions by improving energy efficiency of our products – minimizing the required amount of offsetting toward 2040. This ambition is validated and approved by the SBTi, under the ‘near-term’ category. Reduce scope 3 GHG emissions by 55% per €m gross profit by 2030 from a 2019 base year This target is measured as scope 3 emissions intensity in kilotonnes CO2e per €m gross profit. In order to achieve our intensity reduction target by 2030, we aim for CO2e emissions below 19.5 Mt by 2030. The baseline value in 2019 was 7.6 Mt CO2e, with a value of 1.44 kt/€m gross profit. The absolute target was derived from scope 3 emissions intensity reduction according to the SBTi pathway (7% year-on-year reduction), combined with guidance for our gross profit in 2030 based on 2024 Investor Day information. We use the mid- scenario of the gross profit outlook to balance the assumptions used. Reduce scope 3 GHG emissions by 97% per €m gross profit by 2040 from a 2019 base year This target is measured as scope 3 emissions intensity in kt per €m gross profit. In order to achieve our intensity reduction target by 2040 we aim for CO2e emissions below 2.3 Mt. The baseline value in 2019 was 7.6 Mt CO2e, with a value of 1.44 kt/€m gross profit. The target was derived from scope 3 emissions intensity reduction pathway according to the SBTi. Sub-topic-specific targets Achieve energy savings of 100 TJ from energy-saving projects (including onsite renewable electricity generation) in our own operations worldwide by 2025 This target is measured as cumulated TJ savings as of the base year 2021. Every five years, a new energy savings master plan is created - the current target is related to the 2021–2025 plan. Savings are accounted for after completion of the individual energy saving projects and cumulated. Therefore, they are not comparable between years. Purchase 100% renewable electricity for our own operations worldwide by 2025 This target is measured as the percentage of renewable electricity purchased over our total electricity consumption. This target pertains exclusively to scope 2 emissions, for which we use market-based emission factors. Get commitment from our top 80% suppliers (based on CO2e emissions) to reduce their CO2e footprint toward GHG neutrality by 2030 This target is calculated as the percentage of our suppliers (based on CO2e emissions) who signed the LOC or made a public statement to reduce their CO2e footprint toward GHG neutrality by 2030. Our top 80% suppliers are those who, according to spend-based emission calculations, together account for 80% of our total supplier emissions. Progress is monitored as of 2024, when the program started. We have a target set for 2026 of 75% commitment of our top 80% suppliers (based on the 2023 CO2e emissions). Achieve a 10% decrease in absolute (total equivalent) power consumption of our 0.33 NA EUV NXE systems by 2025 This target is calculated as the percentage decrease in absolute (total equivalent) power consumption in MW. The 2018 baseline value is 1.44 MW. Due to capacity constraints of our SEMI S23-equipped cleanroom cabin in 2024, the energy consumption of the NXE system could not be measured in all respects in accordance with the SEMI S23 standard. We have tested all the energy consumption elements using two different NXE systems and two different measurement cabins. The data is combined to calculate the total energy consumption. Electricity usage is 68% of the total energy consumption and measured directly on NXE:3800 E200 configuration. For the remaining elements (32%), measurements from NXE:3800 E100 configuration are extrapolated to NXE:3800 E200 configuration using conservative error margins. The measurement is verified by system engineering and approved by the head of EUV NXE. Selecting 2018 as a base year for both targets is representative because the TWINSCAN NXE:3400B (shipped that same year) was the first high-volume manufacturing EUV lithography system capable of exposing more than 100 wafers per hour. As the baseline is more closely tied to a machine type than a specific year, averaging over multiple base years does not apply. Achieve a 60% decrease in equivalent energy consumption of our 0.33 NA EUV NXE systems by 2025 This target is calculated as percentage reduction of the energy use in kWh per wafer pass. The 2018 baseline value is 12.8 kWh. The power consumption is measured as outlined in the previous target. Methodology on metrics E1-5 Energy consumption and mix Energy consumption is expressed in MWh and includes fossil fuel and electricity consumption for energy purposes in the reporting period. For all significant manufacturing locations and office locations, data from the energy supplier is used in the calculation. For leased office locations where energy supplier data is not available, energy consumption is estimated based on the square meters leased and multiplied by our country average energy consumption (kWh/m2). The unit in which the energy consumed is expressed is then converted to MWh using standard conversion factors. To estimate total energy consumption from nuclear sources, the amount of non-renewable generation is multiplied by the share of nuclear energy per location based on the International Energy Agency (IEA) and Dutch Emissions Authority (NEa) location-based emission factors. The sector in which we operate is considered a high climate impact sector based on NACE code 29.99 and so all energy consumption and net revenue from the reporting year is included in the energy intensity calculation. E1-6 Gross Scopes 1, 2 and 3 and Total GHG emissions GHG reporting standards • For scope 1 and 2 emissions reporting, we use the ESRS and considered the principles, requirements and guidance provided by the GHG Protocol Corporate Standard. a. Scope 1 is defined as direct emissions occurring from sources we own or control. b. Scope 2 is defined as indirect emissions from the generation of electricity, heat or steam generated offsite but purchased by ASML. • For scope 3 reporting, we use the ESRS and considered the principles, requirements and guidance provided by the GHG Protocol Corporate Accounting and Reporting Standard and the supplement Corporate Value Chain (Scope 3) Accounting and Reporting Standard. Scope 1 and 2 GHG emissions We calculate our scope 1 emissions by multiplying fuels used by their respective emission factors and determining our process emissions. Market-based emission factors are based on supplier emission rates. Location-based emission factors are based on information from the national, sub-national and grid level. For scope 2 emissions, we use market-based emission factors – which are zero for countries where we buy renewable energy. In countries where we do not yet buy renewable energy, we use supplier emission factors when they are available. For a few locations where supplier emission rates are not available, we use location-based emission factors to calculate market-based emissions as a conservative approach. • Scope 1 and 2 emissions are expressed in kt. The CO2e footprint consists mainly of the combustion of fossil fuels (of which only natural gas is material for ASML) and a small portion of CO2 process gas from immersion systems. The natural gas part is calculated by multiplying the specific consumption by local conversion factors (x kg CO2e per m3 of natural gas). • Scope 1 and 2 emissions are calculated for all locations within our operational control. The full consolidated accounting group is in the operational control group, including leased locations. Baseline values are updated accordingly. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 219 General disclosures Environmental Social Governance Energy efficiency and climate action: Additional disclosures (continued)


 
• Emissions from the company’s owned and leased transportation are reported in scope 1 (fuel combustion and hybrid cars) and scope 2 (electric vehicles). • GHG emissions not within our operational control are accounted for in scope 3 emissions. We report GHG emissions in kilotonnes of carbon dioxide equivalents (kilotonnes of CO2e). Calculation methodology • Emissions factors are used to convert an activity (such as purchased electricity in kilowatt-hours) to GHG emissions (kilotonnes of CO2e). We use suitable and consistent emission factors from the IEA and IPCC where applicable. • Emissions factors are used during the calculation of the location-based method for scope 2 emissions and will be used in accordance with the following level of priority: 1. National emission factors 2. National production emission factors – for example, to represent the mix used to produce electricity in scope 2 emissions. We use the regional 2023 US Environmental Protection Agency eGRID emission factors for our US sites, which is part of the IEA Emission Factor database. • For market-based reporting, priority is given to supplier emission factors in accordance with GHG Protocol Scope 2 Guidance (GOs, RECs, I-RECs, T-RECs and K-RECs. • The quantification methodologies are in accordance with best practice as followed by the GHG Reporting Protocol, with additional technical guidance from the US EPA Climate Leaders Inventory Guidance and the Climate Registry General Reporting Protocol 2.0. • We conduct a regular review of appropriate emission factors to ensure the most up-to-date are used. • Global Warming Potentials (GWPs) for our inventory will be identified from the IPCC Sixth Assessment Report (AR6) using 100-year values. • Gases included in calculation: We capture CO2e (including process CO2) for scope 1 and only CO2e for scope 2 emissions. • No biogenic emissions are reported in these categories. • For fuel combustion and hybrid lease cars included in scope 1, the emissions are calculated based on average mileage and emission factors from the European Environment Agency. ASML’s scope 2 emissions We use both the location-based and market- based methods. Our overall electricity consumption, reported applying the market- based method, uses the GHG Protocol hierarchy of emission factor assignment: 1. Applying contractual instruments 2. Supplier-specific emission factors were provided by vendors 3. Residual mixes for markets where available 4. Using regional or national grid factors for the balance of the portfolio Under the location-based method, only regional and national grid mixes are utilized, and renewable energy has no effect or benefit to emission figures. Our renewable electricity consists of two components: onsite generation and voluntary purchases of renewable energy. For onsite generation (such as solar), renewable energy is metered separately and is included in our total consumption. This amount of consumption is considered to have zero scope 1 and scope 2 emissions. Voluntary purchases include the purchase of bundled and unbundled renewable energy credits (GOs, RECs, I-RECs and TRECs), participation in utility green power programs and renewable energy contracted through energy providers. Scope 3 GHG emissions Scope 3 emissions include 15 categories according to the GHG Protocol Corporate Value Chain (scope 3) Accounting and Reporting Standard, of which nine are material within our value chain – as described in the table following. The CO2e emissions of each category are calculated by multiplying the corresponding emission factor (for example x kg CO2e per kWh or euro spend) by either the energy consumption or the specific activity. Scope 3 GHG emissions (in metric tonnes of CO2e) can be identified as: • Gross emissions: The sum of the CO2e emissions of the aforementioned categories • Net emissions: Gross emissions minus carbon credits purchased Emission factors are applied to convert the specified amount of energy, material or activity to metric tonnes of CO2e. The selection of the emission factors is based on the method selected for calculating following the recommendations of the GHG Protocol guidance by scope 3 category. Biogenic emissions are not applicable for ASML. We use our environmental management system (EMS) to calculate and monitor energy use and emissions, improve performance and enhance efficiency across our global operations. The EMS is integrated into the overall environmental, health and safety (EHS) management system operated by all ASML locations. This system was recertified for ISO 14001 (the standard for EMSs) for three years in 2023 and structured in accordance with ISO 45001 (the standard for occupational health and safety management systems) requirements. Scope 3 data is reported on a quarterly basis with a quarter delay (for example, Q1 data is reported at the end of Q2 due to the extended timeline in data collection). This allows us to forecast CO2e with high accuracy based on historical information. For the full year, the emissions reported are the actual emissions of Q1–3 and estimated emissions of Q4. Updates in scope 3 methodology We annually assess if we can improve our methodology for calculating our GHG emissions. In 2024, we implemented an updated methodology for calculating GHG emissions related to employee commuting and product use and we report on all locations. Baseline values are updated accordingly. In previous years we estimated that employee commuting outside the Netherlands always took place by car. By conducting a survey on travel modes among employees of seven representative locations, we were able to get a better understanding of the actual transport modes used to travel to our offices. This update in methodology results in a decrease of 21% (9 kt) The baseline values are updated accordingly. The methodology update for product use (scope 3 category 11) emissions is covering our largest customers (based on revenue) and product categories XT and NXT. In previous years we estimated the emissions caused by products used by our customers by using general location- based emissions factors. Based on publicly available data from the Carbon Disclosure Project (CDP), we have been able to calculate actual emission factors from our five largest customers. This update in methodology resulted in a decrease of 18% (1,600 kt). The baseline values are updated accordingly. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 220 General disclosures Environmental Social Governance Energy efficiency and climate action: Additional disclosures (continued)


 
Category 1 – Purchased goods and services Material to ASML. Multiple modules, parts and services are purchased to produce. We use the spend-based method to estimate emissions for purchased goods and services. We collect data on the economic value of goods and services purchased each quarter and then multiply them by the relevant secondary (for example industry average) emission factors (for example average emissions per monetary value of goods). In order to identify the relevant secondary emission factors, we use the industry codes declared on the purchase order. These industry codes are linked to the emission factors via the Standard Industry Classification (SIC) codes used in the emission factors of DEFRA version 2011. These emission factors are updated on a yearly basis using the average inflation from the Bank of England. All upstream (cradle-to-gate) emissions of purchased goods and services. Category 2 – Capital goods Material to ASML. Multiple physical assets are purchased in order to produce. We apply the spend-based method to estimate the emissions of our purchased capital goods. We collect data on the economic value of capital goods and multiply them by relevant secondary (for example industry average) emission factors (for example average emissions per monetary value of goods). Capital goods have been defined following our financial accounting principles, and are not double counted in category 1. The industry codes are linked to the emission factors via the Standard Industry Classification (SIC) codes used in the emission factors of DEFRA version 2011. These emission factors are updated on a yearly basis using the average inflation from the Bank of England. All upstream (cradle-to-gate) emissions of purchased capital goods. Category 3 – Fuel- and energy-related activities Material to ASML. Fuels and energy are purchased to operate. Using the average-data method, we estimate emissions by using secondary emission factors. In this category we take into account: • Upstream emissions of purchased fuel • Upstream emissions of purchased electricity • Transmission and distribution losses The IEA Life Cycle Upstream Emission Factors (2023), DEFRA (2024) and the National Renewable Energy Laboratory Life Cycle Greenhouse Gas Emissions from Electricity Generation Update (2021) emission factor databases are used. All upstream (cradle-to-gate) emissions of purchased fuels and electricity (from raw material extraction up to the point of, but excluding, combustion). Category 4 – Upstream transportation and distribution Material to ASML. Transportation and distribution services are purchased to operate. We include all third-party transportation and distribution services purchased. This includes inbound, outbound and third-party transportation and distribution between a company’s own facilities. Around 90% of the emissions are reported by the forwarders (Tier 1 logistic suppliers). We directly receive the emissions report from our major logistics suppliers. To calculate the emissions, the suppliers use EcotransitIT, where emissions are estimated using the distance-based method. The report includes: air transport, road transport, marine transport and storage of purchased products in warehouses and distribution centers. For each shipment the factors considered are based on transportation type (e.g. airplane type) and route. We have not included the multiplier effect of air travel on radiative forcing. The remaining emissions are estimated by taking the average ASML freight emissions. Emissions of transportation and distribution providers that occur during use of vehicles and facilities. Category Rationale Methodology description Reporting boundaries STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 221 General disclosures Environmental Social Governance Energy efficiency and climate action: Additional disclosures (continued)


 
Category 5 – Waste generated in operations Material to ASML. Waste is generated as part of our operations. Using the waste-type-specific method, we use emission factors per waste type and treatment method. We differentiate the following treatment activities for each waste type: • Landfill • Incineration • Recycling Waste types are reported as part of our Circular Economy metrics. Waste treatment type is provided by the waste haulers contracted. The emission factors from Ecoinvent v.3.11 and DEFRA (2024) are used. Emissions that occur during the disposal or treatment of our waste at suppliers. Category 6 – Business travel Material to ASML. Business travel is conducted for sales, customer support purposes and operation activities. Air travel: gross emissions are estimated by using two calculation methods. Around 50% of our flights’ emissions are reported to us directly from our main travel supplier. The rest is estimated using the distance-based method, which involves determining the distance and travel class of the flight and then applying the appropriate emission factor (Well-To-Wheel) considering direct climate change effects only, therefore we have not included the multiplier effect of air travel on radiative forcing. Hotel stay: We take hotel nights stayed and apply emission factors for the average energy use per hotel night in different countries. Car rental: We use the distance-based method. We receive the number of rental days from the rental car company and assume an average distance (100 km/day) and multiply this by the corresponding emission factor (distance-based). Taxi and public transportation: We apply the spend-based method, which involves determining the spend on transport and applying secondary (spend-based) emission factors. The DEFRA emission database (2024) is used for air travel, hotel and car. Public transport and taxi spend-based emission factors come from the DEFRA version 2011. This emission factors are updated on a yearly basis using the average inflation from the Bank of England. Emissions of transportation carriers that occur during use of any transport mode used. Emissions caused by the stay at hotels during business travels. Category 7 – Employee commuting Material to ASML. Our employees commute to our offices and manufacturing locations. We use the distance-based method, which involves collecting data on: • Average amount of employees present at the office based on badge swipe numbers • Mode of transport: We differentiate between seven transport modes including bike, car, carpooling, motorcycle, public transport, scooter and shuttle bus • Fuel: Depending on the transport mode, we differentiate in electric, diesel, petrol and hybrid. We report at a country level (Netherlands, Taiwan, South Korea, China, Germany and the United States) and include smaller locations as 'others'. The total emissions are obtained by withdrawing the emissions from leased cars calculated in scope 1 and 2. The emissions factors are obtained from CO2emissiefactoren.nl, Milieucentraal, DEFRA (2024) and the IEA database. In case the emission factor is not found, we use the IEA database to extrapolate the emission factor using cross multiplication (only applicable for electric vehicles). Emissions that occur during use of vehicles or other transport modes when commuting. Category 8 – Upstream leased assets No leased assets are operated outside what is reported in scope 1 and 2. N/A N/A Category Rationale Methodology description Reporting boundaries STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 222 General disclosures Environmental Social Governance Energy efficiency and climate action: Additional disclosures (continued)


 
Category 9 – Downstream transportation and distribution Category 4 (upstream) already includes all inbound and outbound logistic emissions. N/A N/A Category 10 – Processing of sold products Our products do not require intermediate processing. N/A N/A Category 11 – Use of sold products Material to ASML. Our products consume large amounts of energy to operate. We estimate the direct use-phase emissions by measuring the energy use of our products and calculating the GHGs emitted during use. We apply a lifetime of 20 years for each system. We estimate the annual energy consumption of each product based on the common production and idle time percentages, obtained by customer survey data and verified and evaluated every two years by our development and engineering department. The figure obtained is then multiplied by a lifetime of 20 years. Lastly, we differentiate the products sold to our top five customers (based on 2022 revenue). For those we multiply the energy consumption by the customer emission factor (obtained from CDP) to obtain the total emissions. This emission factor is general per customer and does not differentiate between countries. For the products sold to other customers, we apply country-based emission factors from the IEA (2024) database to convert energy consumption into emissions. Some of our products also consume CO2 during their use; this amount consumed is calculated over the lifetime of 20 years and added to obtain the total emissions. The direct use-phase emissions of sold products over their expected lifetime at our customers' sites. Category 12 – End-of-life treatment of sold products Material to ASML. End-of-life products would require treatment after they are no longer in service. We apply the waste-type-specific method, on the basis of a high-level estimation of the material composition of our products. We differentiate between metal and non-metal components and estimate the mass fraction for each system on a family level (for example NXE, NXT and XT). We apply emission factors for specific waste types and waste treatment methods. The Ecoinvent v.3.11 (cutoff) database is used. Emissions that occur during the end- of-life treatment of sold products. Category 13 – Downstream leased assets Assets are not leased to other entities. N/A N/A Category 14 – Franchises ASML does not operate franchises. N/A N/A Category 15 – Investments ASML does not have investments as referred to in the GHG Protocol. All emissions from subsidiaries are included in ASML’s GHG emissions. Emissions from associates that are part of ASML's value chain are included in the respective scope 3 category. N/A N/A Category Rationale Methodology description Reporting boundaries We only have primary data from suppliers for categories 4 and 6. To calculate the percentage, we divided these categories considering the percentage of primary data input over all material scope 3 categories. In addition, we use our CO2e emissions dashboard to monitor progress on all types of CO2e emissions quarterly via a dedicated performance management tool. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 223 General disclosures Environmental Social Governance Energy efficiency and climate action: Additional disclosures (continued)


 
By the beginning of 2024, for the first time, global warming had exceeded 1.5°C across an entire year, according to the EU's Copernicus Climate Change Service. During 2024, ASML also experienced the effects of climate change related to heavy rainfall events in both the US and the Netherlands. Fortunately, our operations could continue without critical delays and there was no material financial impact. It is expected that if society continues to emit GHGs at current rates, global warming will speed up and temperature rises of more than 1.5°C – relative to the pre- industrial period – could have major economic, environmental and social consequences. Since 2020, we have assessed climate-related risks and opportunities for our strategy and business model. With the introduction of the Corporate Sustainability Reporting Directive (CSRD) and the accompanying European Sustainability Reporting Standards (ESRS), we report on our resilience analysis of our strategy and business model in relation to climate change, for which we use our climate scenario analysis. We will also publish a separate report aligned with the Task Force on Climate-related Financial Disclosures (TCFD) guidelines. We used a scenario analysis (considering a 1.5°C scenario up until 2030 and a 4°C scenario up until 2050) to identify and assess climate-related risks and opportunities that could have a substantial financial impact on our organization. Then, we analyzed whether our strategy and business model are resilient to the effects of these scenarios based on the mitigation measures in place. The conclusions from this resilience analysis provide further insight into our capacity to address our material climate-related risks and how we can take advantage of our material opportunities. For our governance around climate-related risks and opportunities, we refer to the General disclosures section in our Annual Report – which also describes our processes surrounding potential climate-related risks and opportunities and their potential impact on our strategy and business model. There we disclose how we identify, assess and manage climate-related risks and opportunities, and the metrics and targets we use to assess and manage relevant climate- related risks and opportunities. The identified climate-related risks and opportunities were integrated into our enterprise risk management (ERM) process. Why it matters: Impacts, risks and opportunities There are several climate-related risks identified in our double materiality assessment (DMA): Physical climate change risks to ASML Physical climate change risks to our customers Technology risk due to transition to low- carbon technologies (transition risk) Climate-related regulation and carbon taxes (transition risk) Damage to our brand and reputation (transition risk) There is also an opportunity: Increased market demand for low-carbon technologies Assessing climate-related impacts, risks and opportunities In 2024, we updated our scenario analysis, which serves as the basis for our resilience analysis and considers both a 1.5°C and a 4°C scenario. Our climate scenario analysis provided no indications requiring changes in our asset valuations in the Consolidated financial statements. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 224 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate resilience analysis


 
Selected climate scenarios for resilience analysis Transition risk: 1.5°C scenario Physical risk: 4.0°C scenario Scenario International Energy Agency (IEA) Net Zero Emissions by 2050 Scenario Intergovernmental Panel on Climate Change (IPCC) RCP 8.5 Scenario Description A 1.5°C scenario would only occur if society managed swift decarbonization in the coming decades, resulting in more pronounced transition risks. This scenario looks at the following risk categories: policy and legal, market and economic, technology and reputation. The impact on both our assets and business activities is taken into consideration. A 4°C scenario would occur if society fails to decarbonize, resulting in more pronounced physical risks. The data model covers the relevant hazard categories for ASML and aligns with the guidance provided by ESRS (temperature-related, wind-related, water-related and solid mass-related hazards). The likelihood, magnitude and duration of the hazards are taken into consideration within this data model. Time horizon For the 1.5°C scenario, this assessment considers a time horizon until 2030 (medium term). This is in line with ASML’s overall strategy and risk time horizon. In our assessment, we consider the climate change effects as projected in 2030 (medium term) and 2050 (long term). The 2050 time horizon is included for this scenario since physical risks could pose a greater threat in the long term if the world fails to decarbonize. Policy levers • Carbon pricing will play a significant role • Strong investment/subsidy schemes for technology innovation in energy efficiency and renewables • Includes a world with little to no policy interventions • High climate adaptation focus Market levers • Primary energy demand falls by 17% between 2019 and 2030 • By 2035, overall net zero emissions electricity in advanced economies • By 2050, almost 90% of electricity generation comes from renewable sources, with wind and solar photovoltaic (PV) together accounting for almost 70% • Electricity: share of final energy demand increase by the year 2100 to 30% • Fossil fuels continue to dominate the primary energy portfolio over the entire time horizon Technology levers • Global rate of energy efficiency improvements (~4% a year by 2030) • Development of low-carbon solutions in all sectors • Includes reliance on carbon capture solutions (up to 7.6 Gt CO2 by 2050) • Wind and solar PV remain to play limited role in energy production • Scarcity in fossil fuels during the second part of the century will result in a ‘last-minute’ shift to highly expensive alternative technologies and nuclear or hydro-energy Climatic effects Effects of physical climate risk limited, but visible • Global mean sea level rise of 0.84 m by 2100 • Frequency and intensity of extreme weather events largely increased with increasing CO2 concentrations Opportunities In both scenarios we have looked at opportunities for ASML, in the following categories: Resource efficiency / Energy source / Products and services / Markets / Resilience STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 225 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate resilience analysis (continued)


 
The two considered scenarios were sourced from the IEA and the IPCC, which are widely regarded as credible sources for selecting climate change scenarios due to their rigorous methodologies and global expertise. Both organizations ensure their scenarios are grounded in the latest scientific consensus and practical policy considerations, making them reliable for scenario analysis in climate-related decision-making. The scenarios represent two extreme temperature pathways, allowing for a complete risk and opportunity mapping in the scenario analysis – including the full breadth of potential impacts on ASML. These scenarios are not exact forecasts or precise predictions, but rather highlight central elements of a possible future that help guide our resilience analysis. In terms of scope, our resilience analysis considers climate-related transition and physical risks and opportunities and their possible effects on our operations and value chain (including upstream and downstream). Specifically, six key suppliers (located within the EU), and three key customers are in scope. We made this selection based on spend (suppliers) and sales volume (customers) averages over a three-year period. No significant assets and/or business activities were considered incompatible with a transition to a climate-neutral economy. The scoring methodology included in this analysis is relative and aligned with our ERM process. The methodology to assess the risks and opportunities to ASML in both the 1.5°C scenario (covers transition risks and opportunities) as well as the 4°C scenario (covers physical risks and opportunities) is aligned with our ERM system. In our risk management system we assess identified risks based on their expected potential impact on ASML and expected likelihood. Based on the combined score of the impact and likelihood assessment, we determine whether these are classified as high, medium or low risks and opportunities. Risk mitigation measures are taken into consideration when assessing the risks therefore representing net risk. To assess the risks and opportunities for ASML caused by suppliers and customers, we used publicly available data from these suppliers and customers (e.g. annual reports, CDP disclosures and TCFD reports). The available information and outcomes provided in those public disclosures are used for our analysis. Other sources used in our assessment are climate data models including geospatial coordinates (e.g. Swiss RE and Munich Re) for determining the exposure of our assets and business activities to physical risks, review of regulatory developments and internal multi-stakeholder engagement. We consider the high and medium risks and opportunities material for ASML. Here follows an overview of the risk and opportunity levels used. Risk and opportunity levels High risk: high financial impact on ASML’s gross margin and/or market share High opportunity: high financial impact on ASML’s gross margin and/or market share Medium risk: medium financial impact on ASML’s gross margin and/or market share Medium opportunity: medium financial impact on ASML’s gross margin and/or market share Low risk: limited to no financial impact on ASML’s gross margin and/or market share Low opportunity: limited to no financial impact on ASML’s gross margin and/or market share We use the following time horizons in our physical and transition risk and opportunity assessments: • Short term: one year • Medium term: from two to five years (e.g. strategy planning horizons) • Long term: more than five years (e.g. lifetime of assets) This exercise allows for identification of the most material risks and opportunities. Results of our climate-related risk analysis and anticipated financial effects of identified material risks and opportunities The results of our scenario analysis are presented in the overview following. Per scenario and per category we disclose the risk and opportunity levels, where in the value chain the highest effects occur, a description of the risk or opportunity, the mitigating measures ASML or its value chain partners have taken and the anticipated financial effects that could occur in these scenarios. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 226 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate resilience analysis (continued)


 
Physical risks 4°C scenario medium and long term Acute and chronic climate change effects Customers The increased frequency and severity of climate change effects will impact our key customers, particularly in the long term (2050). Extreme weather events are predicted to be more severe and the manufacturing facilities of our key customers are especially exposed to effects of water stress, droughts, storms and typhoons. These events can potentially disrupt the operations of key customers in such an extreme scenario. These customers are particularly sensitive to water stress and drought due to the heavy reliance on water for the semiconductor manufacturing processes. Our customers are implementing mitigating measures themselves, such as retrofitting of facilities to increase water efficiency, conducting risk assessments and engagement with their supply chain to mitigate climate risks. Alongside this, we are working on technical solutions to reduce the water needed for cooling EUV machines to contribute to a lower dependency on water. Lost revenue In a 4°C scenario our key customers could experience the increased effects from water stress and drought which can lead to increased operational and capital expenditures and revenue loss. Consequently, the demand for our products could decrease as customers lose financial power. Our dependence on a concentrated number of customers could have a material adverse effect on our revenue and financial condition. Increased capital expenditures Our customers could demand more water-efficient machines, which would require the redesign of our products. There will be increased or prioritized R&D investments to be able to adapt ASML’s systems to be more water efficient. Acute and chronic climate change effects Own operations The frequency and severity of climate change effects increase, particularly after 2050. Tropical cyclones, heat stress and floods caused by increased precipitation are predicted to be more severe in specific regions, potentially damaging and disrupting our operations in those regions. Additionally, droughts could result in the disruption of production due to water-dependent processes. We have several key measures in place to mitigate the potential effects of physical risks, including but not limited to robust building designs, fire suppression systems in critical areas, stormwater control mechanisms, water reserve controls, maintenance management, power backup for safety/emergency systems and business continuity strategies. Lost revenue Extreme weather events can disrupt production processes or transportation, resulting in late deliveries. This can have a material adverse effect on our revenue and financial condition. Operational costs Temperature increases can increase operational costs, due to the necessity of additional air conditioning to ensure consistent climate conditions for our production processes and the productivity of the workforce. Also, it is likely that insurance costs will increase due to increased frequency and severity of extreme weather events in a 4°C scenario. Increased capital expenditures In some cases, more investments will be needed to make our factories increasingly resistant to the effects of climate change, including droughts, tropical cyclones, heat stress, precipitation stress, floods and fire weather stress. Risk level Value chain Risk description Mitigating measures Anticipated financial effects STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 227 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate resilience analysis (continued)


 
Transition risks 1.5°C scenario Medium term Policy and legal Across value chain The climate-related regulation landscape is expected to change in many regions. This could lead to stricter regulation on sectors such as energy, industry and transportation, but also on the technology sector. ESG reporting will also have to become more extensive and carbon-pricing regulations can be introduced. Climate regulation will have a strong effect on the medium term (2030) because the world will have to act soon to limit global warming. These regulations may impact ASML directly in relation to its own manufacturing processes or indirectly via the cost of input materials through suppliers or customer requirements for carbon efficiency. We monitor climate-related regulations and policies to understand the potential effect to our business and stakeholders on a global level. We deploy our carbon footprint strategy, with which we aim to achieve greenhouse gas (GHG) neutrality for scope 1 and 2, business travel and employee commuting by 2025, for our supply chain emissions by 2030 and for product use emissions by 2040. The objective of our supply chain collaboration programs and our product energy efficiency roadmaps is to reduce emissions from the products we purchase, to reduce the carbon footprint of our products, and to enable low-carbon technology and products across our entire value chain. Increased cost of input materials The price of our input materials is likely to increase in a 1.5°C scenario due to climate-related regulations and carbon taxes. Increased operating costs Increased operating costs due to a price on carbon in a 1.5°C scenario. Increased capital expenditures In a 1.5°C scenario, there will be increased capital expenditures, as investments are needed to make production processes more energy efficient or to change the energy source. This is most relevant for facilities in Taiwan and South Korea, where the costs of moving to renewable energy are already very high. Additionally, increased or prioritized R&D investments will be needed to support our customers in meeting their carbon-reduction requirements. Market and economic Suppliers The availability of some input materials is expected to be impacted, since demand for these products will become higher in a low-carbon economy (e.g. raw materials used in our equipment like steel, aluminum and rare earth elements). The increased demand and decreased availability of such input materials and required changes to production processes at our suppliers could result in higher purchase prices for ASML. To mitigate the effects of higher-input material prices, purchase agreements are signed with suppliers. We have developed dedicated supply chain programs to monitor the availability of raw materials and economic development as well as a scarcity program to monitor scarce commodities. Increased capital expenditures Both ASML and its suppliers need to increase R&D investments to be able to adapt our systems to be more energy efficient and reduce the carbon footprint of the supply chain. Increased operating costs Increased operating costs due to the potential increase of raw materials prices, caused by limited availability and changes in supplier production processes. Technology Across value chain Investments in new technology are required to mitigate carbon emissions, and these transition costs could be very high. ASML is highly dependent on its suppliers and customers to reach its climate ambitions. Some of our manufacturing processes require fossil-fueled technologies for which no alternatives are industrialized yet (e.g. steel), while there is currently a limited availability of renewable energy in some regions where our products are operated. We develop our products and technology roadmaps in close collaboration with suppliers and customers and we actively work to reduce the energy consumption of our products. We are gathering more insights on material inflows to find solutions to reuse materials and reduce the carbon footprint of materials used in the production process. We expect that the deployment of our Climate Transition Plan will support our transition to achieve GHG neutrality for scope 1, 2 and 3 by 2040. Increased capital expenditures ASML and value chain partners need to increase R&D investments to reduce the carbon emissions of our lithography systems and applications. Risk level Value chain Risk description Mitigating measures Anticipated financial effects STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 228 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate resilience analysis (continued)


 
Risk level Value chain Risk description Mitigating measures Anticipated financial effects Transition risks 1.5°C scenario Medium term Reputation Own operations There will be more scrutiny on the semiconductor sector, as it consumes large volumes of energy and water resources. Failure to decarbonize and mitigate negative impacts on the environment can result in brand and reputational risk for ASML. This could negatively affect employee attraction and retention and could result in a reduction in available capital sources. We have developed our ESG sustainability strategy to mitigate our negative impacts and increase our positive impacts on ESG-related topics. Part of this strategy is our Climate Transition Plan which we expect will help us to reduce our carbon emissions. By continuously engaging with our relevant stakeholders, we seek to ensure that our ESG sustainability strategy covers all our material impacts, risks and opportunities. The Climate Transition Plan, its related strategic KPIs and its actions and progress are monitored by the Board of Management (BoM). Lost revenue Reputational damage can lead to a decrease in demand from customers for our products. Similarly, failure to manage climate impact can negatively impact employee attraction and retention and indirectly lead to revenue loss. Increased capital and operational expenditures Increased capital and operational expenditures as investments are needed to execute our ESG sustainability strategy. Opportunity level Value chain Opportunity description Anticipated financial effects 1.5°C & 4°C opportunities Medium to long term Development and/or expansion of (new) products and services Own operations The increased demand for low-carbon technologies will impact the demand for semiconductors. When looking at the scenario of a low-carbon economy, semiconductors play a multifaceted role in mitigating carbon emissions. Semiconductors are needed for the generation and use of low-carbon energy sources and are necessary for, among others, wind turbines, solar panels and electric vehicles. Moreover, semiconductors are necessary in all smart technologies that help improve energy efficiency, such as smart grids, while power semiconductors can be key in reducing energy use. As demand for semiconductors may surge, the need for our lithography systems is also highly likely to increase. Increased revenue As demand for semiconductors surges, the need for lithography systems will likely increase. We will likely be able to serve this need if we continue to follow our vision of producing microchips that are constantly becoming more energy efficient. Therefore, the increase in demand for semiconductors will be highly likely to lead to increased revenues. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 229 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate resilience analysis (continued)


 
Assessment of the resilience of our business model and strategy We define resilience as our capacity to address our material climate-related risks and how we can take advantage of our material climate-related opportunities. In order to determine the resilience of our strategy and business model, we assessed the extent to which the material risks and opportunities derived from our scenario analysis (as described in the table above) are covered by risk mitigation measures. To address the climate-related risks derived from our scenario analysis, we have integrated the risks into our existing ERM process. Read more in Strategic report – Performance and risk – Risk – How we manage risk We have listed our main risk responses in the Mitigating measures column in the table with the results of our scenario analysis. Our material physical risks will need to be addressed in the medium term but also in the long term. Several actions have been taken to mitigate the potential effects of climate-related risks. These actions include incorporating extreme weather considerations into the upgrade and design of new buildings, implementing insurance to address financial implications of physical climate risks, developing backup plans to ensure business continuity, and managing other risks such as flooding and windstorms. Our material transition risks will need to be addressed in the medium term. ASML is proactively managing its exposure to transition risks and trying to anticipate their effects on its reputation and financial performance. One key initiative has been the establishment of climate- related targets aimed at mitigating the potential costs associated with climate policies and carbon taxation. Specifically, we are committed to play our part in limiting global warming to 1.5°C, and have determined climate change ambitions to drive action toward GHG neutrality: • By 2025, we aim to become GHG neutral for our own scope 1 and 2 emissions, business travel and commuting • By 2030, we aim to become GHG neutral in our supply chain (including logistics) • By 2040, we aim to become GHG neutral across our entire value chain To execute our climate strategy, we have been working on multiple actions in close collaboration with our ecosystem partners. We have developed a Climate Transition Plan that provides a roadmap with key actions to achieve the ambitions stated above. This roadmap provides insights into the work done on energy-saving projects for our manufacturing sites and offices, the roadmaps developed for our system families to lower their energy usage and the supplier engagement program to lower the emissions related to the materials we purchase. We have developed internal policies related to climate change and other environmental topics and provide regular knowledge sessions on climate change accessible for all our employees. We have a growing employee network called GreenASML with over 2,000 people discussing and giving input on climate change (and other ESG related) topics. With the execution of our climate strategy we aim to address the material climate-related transition risks identified and aim to leverage the opportunities identified in the medium term. We need to continue these efforts in the short, medium and long term, to maintain our ability to adjust or adapt our strategy and business model where relevant or needed in relation to climate change. Another next step is the further integration of climate-related risks and opportunities in our business continuity processes, where we determine the value at risk for our key manufacturing sites in case of downtime of production processes or loss of a manufacturing site due to man-made or natural disasters. For example, by further integrating climate-related risk events in this process, we can determine anticipated financial effects in the future. We anticipate aligning these processes next year, providing us with a better understanding of the effects of our risk mitigation measures. With better data and a robust methodology, we will gain more insight into the resilience of our business model and strategy. This analysis will be conducted annually to identify risks that are not yet known or not yet considered material, and that could significantly impact our business objectives, financial condition, results, operations and reputation. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 230 General disclosures Environmental Social Governance Energy efficiency and climate action: Climate resilience analysis (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 231 General disclosures Environmental Social Governance Circular economy We aim to have zero waste from our operations to landfill and incineration by 2030. ...for the planet ...for ASML The predominant linear model of the global economy – in which products are produced, used and then thrown away as waste – is unsustainable. It adds immense pressure to our planet’s limited resources, increases GHG emissions and generates waste and pollution. A circular economy approach enables sustainable economic growth by creating business loops, ensuring efficient use of resources and driving an innovative business model. By applying a circular economy strategy, we aim to ensure our products and services create and retain as much value as possible for us, our customers, our suppliers and other partners across our value chain. A successful transition toward a circular economy means improved designs, operational resilience, minimal environmental impact and reduced costs. The transition to a circular business model is important: ...for our customers It contributes to their circular economy objectives, systems and parts availability, while lowering their total costs of ownership. ...for our employees It contributes to their goals to improve social and environmental impacts. ...for our suppliers It contributes to business opportunities due to the reuse of materials which contributes to avoiding the use of new materials therefore reducing costs. ...for our shareholders It contributes to their objective to maximize long-term shareholder value and minimize business costs while improving sustainability performance. ...for society It contributes to societal objectives reducing waste, costs, and environmental footprint. Read more about our double materiality process and identified impacts, risks and opportunities for this theme in Sustainability statements – General Disclosures – Impact, risk and opportunity management Our 2024 progress: 95% 88% Systems sold in the past 30 years still active in  the field Reuse rate of parts returned from field and factory (2025 target: 90%) 12,118 t 429 kg Total waste from operations Waste generated per €m revenue (excl. construction) (2025 target: 295 kg) 63% Recycling rate (excl. construction) (2025 target: 65%) Why it matters


 
Our objective We want to transition from a linear to a circular business model – something we believe is vital for our future success and competitiveness. The circular economy model aims to keep resources in use for as long as possible, minimizing the use of virgin materials and eliminating waste by closing the loop to create a more sustainable and resilient economy. We contribute to this by maintaining, repairing, upgrading, refurbishing, remanufacturing, repurposing and/or recycling our systems, parts, packaging, assets and non-product- related (NPR) goods as we aim to minimize the social and environmental impact of our operations. Systems Parts and tools including packaging and transport tools We aim to maintain systems in use for as long as economically and environmentally possible, focusing on service, upgrades and refurbishment. We aim to maximize the use of materials by focusing on parts and packaging availability, cost reduction and reuse of already available resources through repair and test actions – avoiding the need for new materials for new parts. NPR waste Real estate We aim to minimize our waste and increase our recycling rate by improving the quality of our waste data, analyzing the waste data and using insights from waste data to define and implement onsite initiatives. We adopt green building standards and use strict certification methods, aiming to ensure most of our new and existing office and warehouse buildings (owned buildings) are as sustainable as possible. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 232 General disclosures Environmental Social Governance Circular economy: How we're managing


 
Our approach A successful transition toward a circular economy means improved designs, operational resilience, minimal environmental impact and reduced costs. Our approach applies to ASML worldwide. Improved designs are achieved through learning from failure cases and returns of used parts. This leads to improved products, solutions and processes. Our growth depends on the availability of parts and access to materials but, at the same time, we want to lower our material inflow. Our ERM framework addresses the risk of supply chain disruption due to scarcity or unavailability of raw materials and parts. Decoupling inflow from growth and closing material loops will be key for operational resilience – leading to lower use of virgin materials and reduced emissions through disposing locally and elimination of waste ending in landfill and incineration. Cost reduction can be achieved by optimizing the number of purchased goods while avoiding surplus and reusing resources to eliminate waste. We aim to limit our negative impacts on the planet in close collaboration with our customers and suppliers. Our ambition is to have zero waste from operations to landfill and incineration by 2030. To achieve this ambition, we aim to: • Minimize material inflows by avoiding the use of virgin materials; source sustainably; use renewable/recycled materials as much as possible; and reuse, repair and refurbish systems, parts, packaging and tools • Minimize outflow by maximizing the lifetime and productivity of our systems and eliminating waste from operations to landfill and incineration, while recycling materials that can no longer be used We have identified four material sub-topics worldwide: • Systems • Parts and tools, including packaging and transport tools • Non-product related (NPR) waste (hazardous and non-hazardous) • Real estate (building renovation and construction) Our different types of waste We measure our impact in tonnes of waste, by category (non-hazardous and hazardous) and by material type (such as plastics, paper, wood and hazardous liquids). We include data on the CO2e impact of processing our waste in our scope 3 emissions. Within our operations, we divide our waste into three categories: • Non-hazardous waste, such as packaging material, waste from parts resulting from upgrades or defects, and general waste. This category also includes construction waste from building activities, which tends to fluctuate over the years. • Hazardous waste, such as the chemicals we use in our manufacturing processes. This can include everything from lamps, batteries and liquids to cleaning wipes and filters. Most of our hazardous waste is in the form of liquids, including acetone and piranha acid. • Radioactive waste originates from small amounts of radioactive material in our products. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 233 General disclosures Environmental Social Governance Circular economy: How we're managing (continued)


 
By applying a circular economy strategy, we aim to ensure our products and services retain and create as much value as possible for us and our partners in the ecosystem. We aim to achieve our ambition across the four material sub-topics via a strategy based on the following four levers, which we apply in collaboration with our suppliers and customers: Prevent waste We aim to prevent waste by decoupling our business growth from our waste generation. Our waste prevention strategy aims to rethink design and processes to avoid waste throughout the entire lifetime of our systems – in the production phase and use phase and at end of life (EoL). We use a modular design – with the system divided into modules, that allows teams inside and outside ASML to work on different components in parallel, speeding up the development cycle. Design of our systems, parts and tools is done with disassembly in mind, making it easier to repair and maintain them. We focus on design along circular economy principles such as: durability, reusability, repairability, refurbishment, remanufacturing and recycling. In addition, we work on implementing commonality, modularity, serviceability, compatibility and standardization. We design systems, parts, packaging, tools and real estate to maximize their value and reliability and prevent waste. We aim to choose mono-material components and an eco-design methodology, and minimize the use of critical raw materials such as rare earth and hazardous materials. As part of our supplier sustainability program, we collaborate with product- and non-product-related suppliers that deliver more sustainable materials, sourced from renewable sources, and durable and efficient products with recyclable materials that can be upgraded, reused, repaired, refurbished and recycled by us or our suppliers. We do not have absolute targets on the minimization of primary raw materials and the use of sustainable and renewable resources yet. We strive to avoid excess and obsolete inventories. We are committed to making reliable systems, minimizing the number of parts that are dead on arrival. By rethinking processes and implementing lean principles in manufacturing and logistics, we aim to improve delivery and thereby reduce waste. Extend lifetime We aim to keep systems, products and assets in use for as long as possible. With our customers, we focus on establishing contracts to keep our systems working for longer, maximizing their value and avoiding obsolescence. With our suppliers, we focus on establishing contracts to keep our infrastructure working for longer. By developing lifetime extension, productivity enhancement and system node extension packages (LEPs, PEPs and SNEPs, respectively), we aim to enhance the lifetime and performance of our systems. In addition, we refurbish systems. In a LEP we replace parts or modules for which the availability of spare parts can no longer be guaranteed and to provide further lifetime of the product. Reuse resources We aim to reuse resources as much as possible across our value chain. We are committed to reusing system parts, packaging, tools and NPR resources, focusing on optimal return flows by collaborating with customers and suppliers, while learning from system usage in the market and from product returns for repair and reconditioning. We repair and harvest parts and packaging through global and local repair centers, suppliers and partners, at the location with the lowest environmental impact. In real estate, we repair buildings, assets and infrastructure. Redeployment enables the reuse of parts, packaging, tools and devices in a new life cycle with the same functionality inside and outside ASML. Recycle materials We aim to prepare for reuse or recycling at end of life. In collaboration with our partners, we focus on the best ways to collect, dismantle and sort material to avoid landfill, incineration and other disposal operations. Increasingly, preparation for reuse or recycling of both hazardous and non- hazardous materials and construction waste at EoL takes place locally – and we only collaborate with waste contractors that are certified according to local legislation. We aim to include sustainability KPIs in contracts to ensure contribution to our circular economy targets. We aim to achieve our ambition by focusing on the following steps: • Further embedding the circular economy governance across the organization • Improving our circular sourcing strategy to ensure we minimize the inflow and as such prevent waste • Ensuring our designs take circularity principles into account • Continuing to maximize reuse • Focusing on creating a strategy for extending the lifetime and reuse of our buildings and infrastructure • Improving the data reliability of our packaging and waste • Identifying opportunities for closed-loop collaborations with our suppliers and waste haulers • Investigating the impact of our waste across our value chain (beyond our own operations) • Investigating the value of waste Why it matters: Impacts, risks and opportunities For circular economy, we have identified the following impacts across our value chain that are downstream beyond our customers: Impacts: Use of our customers' products enabling the transition to a circular economy in various applications Use of our customers' products hindering the transition to a circular economy in various applications The strategy for these impacts including targets, actions and resources is in development, and we will report on this in the coming years. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 234 General disclosures Environmental Social Governance Circular economy: How we're managing (continued) Levers for action


 
Our scope Systems refer to our complete portfolio of holistic lithography solutions that support our customers at every stage of the chipmaking process, from early design and development to high-volume production: EUV and DUV lithography systems, metrology and inspection systems, computational lithography, and system and process control software solutions. Why it matters: Impacts, risks and For systems we have identified the following: Impacts: Resource inflows in the production process Impact of our resource outflows at customers’ sites Waste produced from our operations Risks and opportunities: Disruption to the supply chain caused by unavailability of materials and parts Loss of market share and dissatisfied customers through not meeting agreed circular economy standards Inability to meet changing customer demands for more circular products Read more in Strategic report – Performance and risk – Risk Targets and performance Performance indicator Unit 2024 Target Target date Status % of lithography systems sold in the past 30 years still active in the field % 95 % N/A N/A N/A We monitor the lifetime and productivity of our systems via: Percentage of the systems sold over the past 30 years still active in the field by 2025 We actively monitor our systems sold over the past 30 years that are still active in the field. This includes our EUV, DUV and PAS 5500 systems. The monitoring takes place based on shared interests with our customers to extend the lifetime of our systems as long as possible, due to their high value. In 2024 we have sold 38 refurbished lithography systems (9.1% of the total lithography systems sold in the year). To date we have refurbished and resold over 500 lithography systems. By the end of 2024, 95% (2023: 95%) of all (refurbished) systems sold in the past 30 years were still active in the field. Our actions and resources We aim to maintain systems in use for as long as economically and environmentally possible, focusing on service, upgrades and refurbishment. For this, we focus on safeguarding our ability to support the systems and creating products and options to increase the value of the systems for our customers. Our ability to continue to service the systems is secured by investing in service training and documentation, and by resolving obsolescence issues with parts. Enhancing systems’ performance and lifetime We are establishing customer contracts to maintain systems in the market as long as economically beneficial for both the customer and ASML, maximizing their value. We develop refresh packages to maintain a high performance, PEPs and SNEPs to enhance their running period and performance, and additional options to allow systems to be adapted to new customer requirements. We provide our PAS customers with a guaranteed service roadmap until at least 2035, and we provide specific guarantees to each platform for our other systems – meaning all the support and necessary services and spare parts required to maintain their systems are expected to be available until at least the committed date, subject to export control limitations. Safeguarding service parts availability We also refurbish systems across the business – a multiyear program in which we continually invest to ensure the supply of more than 2,000 service parts for our PAS, XT and NXT platforms. This is achieved either through redesigns, harvesting parts from systems decommissioned by our customers or finding an alternative with the same form, fit and function. Where this is not possible, we are generally able to secure components through ‘last time buy’ – a supplier’s ‘last call’ for a part or component before production switches to its successor. As a last resort, we can decide to completely redesign a part. Extending product life through refurbishment We focus on refurbishing a number of product families: PAS 5500 (with almost 1,800 systems at customer sites worldwide), TWINSCAN XT 4 (2,000 systems) and, as of 2021, NXT:1950-1980 (1,000 systems). For the approximately 200 TWINSCAN AT systems still in operation, we focus on measures to proactively manage their end of life – guaranteeing the availability of spare parts for as long as possible and providing customers with sufficient notice if we can no longer do so. We define until which date systems need to be supported, and we pro- actively organize for the parts, people and tooling needed to execute this successfully. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 235 General disclosures Environmental Social Governance Circular economy: Systems


 
Our refurbishment program is mainly involved in industrializing refurbishments with existing hardware. This means making sure the consumables, parts that show wear, and any upgrades that we may need to do have procedures and sequences available to ensure low cycle time and cost. Redesigning parts to avoid obsolescence We track spare parts in our portfolio to see how they are being used and identify when we expect to run out of individual items – and, for PAS and (N)XT systems, we use this information to update our priorities for redesign. We have identified and plan to execute more than 100 redesign projects for nearly 300 parts in the coming years – particularly relevant for electronic parts, for which the evolution of technology has been faster than in any other field. We will continue to increase our focus on local repair to extend the life of the mature installed base at lower cost, reducing the need to redesign and buy new materials and parts. Resources By thinking about modularity, commonality and repairability during the design phase, we can extend the lifetime of our machines, increase reuse opportunities for parts in the future and extend the productivity of our systems to maximize their usage throughout their life cycles. We have several Development and Engineering teams working on installed base programs that focus on extending the lifetime and productivity of our systems. In these cases, our circular objectives are inter-aligned with other strategic goals. As a result, it is not possible to fully distinguish our resources only for circular objectives. Our estimate is that approximately 20 FTEs are working on extending the lifetime of our systems. The associated costs are approximately €2.8 million annually and included in the Consolidated financial statements in Selling, general and administrative costs. We expect this number to grow because of our business growth. These FTEs are not solely attributable to the circular objectives of ASML, such as extending the lifetime of our systems, but also contribute to our other strategic goals, such as extending the productivity of our systems. Looking ahead We are working on strengthening the circular economy thinking in our installed base strategy, and as such are developing new targets to monitor progress on this strategy going forward. For DUV, we aim for XT Dry scanner energy reduction and we actively engage with our customers on this new roadmap to further enable both ASML's and our customers’ GHG neutrality ambitions and maximize the lifetime of our systems. We will expand the engagement with our customers on our DUV roadmaps in the coming years to jointly plan and act to meet our circular ambitions. For EUV, we will continue to leverage our large and growing systems installed base to provide high-value service and upgrades over a lifetime of more than 20 years. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 236 General disclosures Environmental Social Governance Circular economy: Systems (continued)


 
Our scope In scope for our parts and tools – which from this point on we will refer to as 'parts' – are subsystems, modules, assemblies, parts, tools and components used in our systems. In scope for our packaging and transport tools are materials used to protect, safeguard and transport our systems and parts across the value chain. Why it matters: Impacts, risks and opportunities For parts and tools including packaging and transport tools we have identified the Impacts: Resource inflows in the production process Impact of our resource outflows at customers’ sites Waste produced from our operations Risks and opportunities: Disruption to the supply chain caused by unavailability of materials and parts Loss of market share and dissatisfied customers through not meeting agreed circular economy standards Inability to meet changing customer demands for more circular products Read more in Strategic report – Performance and risk – Risk Targets and performance In the context of reusing parts and tools, we have defined one target. For packaging and transport tools, we are assessing inclusion of this in our targets in the near future. Achieve a 90% reuse rate of parts returned from the field and factory by 2025 Our overall target reuse rate of 90% means a 95% successful return of our parts and subsequently 95% successful reconditioning. We established this target to focus on the reuse of our parts and gain better insights into our reuse processes. While our external stakeholders were not involved in setting this target, we collaborate closely with our partners and suppliers to improve our reuse rate. In 2024, our reuse rate of parts was 88% – on target to achieve our goal. The savings we generated from reused parts amounted to €1,841 million, and the value of scrapped parts was €237 million. The return-to-recondition flow, the recondition-to-good-stock flow, the reuse rate and the inventory levels are monitored and reported monthly to our reuse board. Our actions and resources Our actions to achieve our target are centered on: Repairing and reconditioning materials to enable reuse Before parts are returned for reuse, they undergo an identification process and quality check, followed by the logistical and financial processes required to bring them back into the supply chain – either to the original module suppliers or to ASML. Our goal is to standardize these processes and create a network-related solution to enable high flexibility and reduce transport, which also reduces our CO2e footprint. These activities – which are under development globally and connected to our general enterprise resource planning (ERP) system – support us in maintaining a parts return rate of 95% and a recondition rate of 95%. On an annual basis, the additional potential savings related to these activities amount to €1.5 billion worth of materials. Localized repair centers Currently, we have repair centers in Asia (South Korea, Taiwan and China), the US (Wilton, San Diego, Vancouver WA) and the EU (Veldhoven), which work with local suppliers and specialized repair partners to create a local ecosystem. By enabling repair and reuse activities and taking ownership of repairs close to where materials are needed, we are able to reduce logistics time, cost of stocking parts and our environmental impact (by reducing both scrap and GHG emissions). In 2024 we opened our new Reuse Work Center in Newtown, Connecticut (US). With this dedicated facility for reuse and repair activities, our Wilton (US) factory greatly increased its reuse capacity and efficiency. The Newtown Reuse Work Center features its own 2,500 ft2 cleanroom, including a grade-four area for dismantling particularly sensitive modules (such as YieldStar sensors and EUV uniformity correction modules), a warehouse and logistics facilities. A dedicated team of production engineers, technicians and logistics experts drives disassembly, repairs and upgrades of modules and will be taking many more parts from the Wilton factory, including DUV reticle stages, Z-mirrors, YieldStar sensors and EUV uniformity correction modules. Improving the effectiveness of the reuse flow In 2024 we began improving the data availability of materials flow and registration in our ERP system. In our new system designs, we aim to ensure design-for-reuse principles. The related training and detailed documentation have been tested and rolled out in 2024 and will be continued in 2025. To track the effectiveness of our reuse flow actions, we constantly measure the return- to-recondition flow, the recondition-to-good- stock flow and the reuse rate, and we also monitor the inventory levels of materials to be reconditioned. Circular supplier collaboration We are collaborating with suppliers to incentivize reuse over new purchases. We have started transferring used parts back to our suppliers to repair, refurbish or harvest for reuse in their new buying process, giving them more flexibility in how they can reuse parts. In the prior year, we investigated how to support a new collaboration model with suppliers for reusing materials, as well as how to adjust our processes and systems to enable it. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 237 General disclosures Environmental Social Governance Circular economy: Parts and tools including packaging and transport tools Performance indicator Unit 2024 Target Target date Status Reuse rate of parts returned from field and factory % 88 % 90 % 2025 On track ò


 
Reuse of packaging and transport tools Valuable transportation materials – such as packaging, locking and plug materials – are used to safely transport our modules and systems, either from our suppliers to our factories or from our factories to our customers. Instead of being thrown away once they reach their destination, these transportation materials are reused. We are improving the reuse of packaging, lockings and plugs from the field and factory, and implementing business rules, KPIs, analytics and infrastructure to secure reuse over new purchase. In 2024, we continued to make progress in reusing thousands of small auxiliary materials, such as plugs, flanges, caps and brackets. These are now being reused for system parts in our factories or for shipping machines to our customers. We also focused on improved reporting capability to better analyze our waste streams, we reduced our factory waste stream on packaging and transport tools significantly, and we now seek reuse opportunities outside our ASML network, e.g. reuse of containers if this is not possible internally. Improving availability of materials through reuse With increased demand for all our systems, it has become more challenging to have the right materials in the right place at the right time to build, upgrade or repair our products. One solution to improve availability of materials is to reuse them from existing systems that have been returned from the field. In 2024, we introduced a systematic approach to dismounting and reusing NXT systems, with the ambition of using the same process with other systems in the future. Through a scalable process, almost all modules can be disassembled and fed back into the supply chain as separate parts. This approach provides greater availability of materials, reduced cost and lower lead times, particularly for lenses in high demand. We have also completed a pilot to include XT main bodies in this process. Resources We have a dedicated Reuse & Repair organization. While in the beginning cost was the main purpose of reuse, other key drivers today are to reduce waste in our ambition to become a circular company, increase output through parts availability, overcome material shortages and improve our designs by learning why parts fail. The reuse-dedicated organization leases several repair centers and reuse factories for end- to-end reuse activities, from dismantling and harvesting to reconditioning, (tin) cleaning and returning materials for reuse to our factories and field locations. To run the reuse-dedicated organization, operational expenditure was approximately €28 million in 2024, included in the Consolidated financial statements in Selling, general and administrative costs. This includes expenditure for around 200 FTEs at year end. The future financial resources for 2025 are expected to slightly grow to €32 million because of the expected growth in FTEs and output. When repair centers are acquired, the EU Taxonomy assessment is performed under economic activity CCM 7.7 Acquisition and ownership of buildings. In order to enable further scaling of reuse through processes and organizational changes, we invest on average about 70 FTEs in our improvement program. The associated costs are approximately €9.8 million annually. Resources allocated to the Reuse & Repair organization are not solely attributable to our circular objectives, but also contribute to other strategic goals. When conducting the EU Taxonomy assessment, we assessed our contribution to the transition to a circular economy by checking on the alignment of our economic activities with the technical screening criteria provided for activities 1.2 Manufacture of electrical and electronic equipment and 5.1 Repair, refurbishment and remanufacturing. Our conclusion was that our activities cannot be considered aligned with the EU Taxonomy for these specific activities. For activity 1.2, the following reasons explain the lack of alignment: • We track information on substances of concern and very high concern; however, these are not yet publicly available in the SCIP (Substances of Concern In articles as such or in complex objects (Products)) database and/or IEC62474 • Currently, we do not meet the design for recyclability criteria, which rely on EN 45555:2019 or any product-specific EN standard relying on EN 45555:2019. • More than 95% of our systems are still active in the field and we have longstanding relationships with our customers. Each buyback, sellback or takeback is an individual negotiation, and therefore we cannot evidence standard information to customers regarding end- of-life options for our products. Activity 5.1 is not aligned because we lack a waste management plan that ensures that the product’s materials, particularly critical raw materials, and components that have not been reused in the same product are reused elsewhere, or, where reuse is not possible (due to damage, degradation or hazardous substances), are recycled, or, only where reuse and recycling are not viable, are disposed of in accordance with applicable EU and national legislation. This requires a waste plan that covers each of the tens of thousands of parts in our systems. We currently do not have this plan in place. Read more in Sustainability statements – Environmental – EU Taxonomy Looking ahead We will further invest in global reconditioning capacity so it scales with our company growth. In 2025, we plan to open a new Reuse & Repair Center in Beijing (China), marking another important step-up in reuse manufacturing. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 238 General disclosures Environmental Social Governance Circular economy: Parts and tools including packaging and transport tools (continued)


 
Our scope Non-product-related (NPR) waste (hazardous and non-hazardous) refers to all waste other than production items that are not part of a system, such as asset management, facility management, IT. This is relevant for all our locations. Product-related (PR) waste consists of systems and parts and tools including packaging and transport tools. Why it matters: Impacts, risks and For NPR waste (hazardous and non- hazardous) we have identified the following: Impacts Waste produced from our operations Targets and performance While we are working toward developing a specific NPR waste target, our waste prevention strategy contributes to the following targets: Achieve 295 kg of waste from operations (excluding construction) / €m revenue by 2025 Waste from operations in this context – PR and NPR – is defined as any substance or object the holder discards, or intends or is required to discard including waste from activities, resources and relationships owned or controlled by ASML (excluding construction waste). Our waste intensity in 2024 (our baseline) is 429 kg per €m revenue. To achieve our target of 295 kg per €m revenue, we need to scale up our efforts to reduce our waste streams. We measure our waste intensity to gain insights in our waste streams, and we set a target to maintain internal focus. No external stakeholders were involved in the target-setting process. Achieve a 65% recycling rate of waste from operations (excluding construction) by 2025 In 2024, we generated 13,537 tonnes of PR and NPR waste (including construction waste). Our recycling rate was 63%, compared to our target of 65%. In 2023, we reported a 90% target rate for 2025. However, last year, insights showed that waste companies reported recycling rates using different definitions – and aligning the definitions worldwide resulted in a significant decrease in our recycle rate. In 2024, we continued to improve the quality of data, and we have started initiatives with our waste companies to both increase our recycling rate and better understand the environmental impact of our waste. The new insights revealed that achieving a 90% recycling rate by 2025 was not realistic. Therefore, we adjusted our 2025 target to a 65% recycling rate of waste from operations with our 2024 actuals as the baseline. Our ambition of zero waste to landfill and incineration by 2030 worldwide remains the same, and we will work on increasing our recycle rate year by year. Our actions and resources To reduce NPR and PR waste, our actions focus on multiyear projects that first started in 2023. In 2024, we: • Started a project to improve the completeness, representativeness and accuracy of waste data worldwide. • Investigated the recycling capabilities of seven industrial sites with the aim of improving our recycling rate. In 2025, we will define actions based on the insights gained from this study. • Completed a detailed overview of the waste streams for our five largest industrial sites – Veldhoven, Wilton, San Diego, Linkou and Tainan – with the goal of identifying improvement projects. In addition, we started the execution of the following projects per region: Veldhoven (the Netherlands): • Investigation of improving waste management at our main Veldhoven campus to accommodate further growth while supporting our zero-waste ambition. • Implementation of better waste- segregation facilities in the offices and warehouses to improve our recycling rate. • Implementation of reusable coffee cups, resulting in a reduction of around 14.4 million disposable cups. • Reduction of the use of wooden pallets, which represent approximately 10% of our packaging waste. To avoid incineration of disposable wooden pallets, we made agreements with one of our key pallet suppliers to switch to reusable pallets. In the first month in 2024, this resulted in a saving of 2,000 kg. For 2025, we aim to expand our agreements with other suppliers and decrease wooden pallet waste by 250,000 kg per year. • Reduction of waste by making agreements with suppliers to enable greater return to manufacturers. This could save approximately 400,000 kg of waste per year. • Agreement with our cleanroom suits supplier to ensure full recycling of plastic foil packaging. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 239 General disclosures Environmental Social Governance Circular economy: Non-product-related waste (hazardous and non-hazardous) Performance indicator Unit 2024 Target Target date Status Total waste from operations (excl. construction) normalized to revenue kg/€m 429 295 2025 Work to be done n Recycling rate (excl. construction) % 63 % 65 % 2025 Work to be done n


 
Linkou and Tainan (Taiwan): • Improvement of waste data quality by ensuring waste is being measured by a third party. • Increase of wood waste recycling from 35% to approximately 80% for our Tainan factory, and from 75% to 90% for our Linkou factory, by changing waste hauler. San Diego and Wilton (US): • Implementation of reusable coffee cups, resulting in a reduction of around 1.1 million disposable cups. Resources 6 FTEs are working on our actions from our waste master plan. These have an associated annual cost of approximately €0.8 million. The other actions executed carry a cost of approximately €1.0 million. All costs are included in the Consolidated financial statements in Selling, general and administrative costs. Depending on the outcome of various pilots and supplier collaborations, this amount could increase in years to come. Looking ahead We will continue executing our waste prevention strategy, and collaborating with our suppliers, service providers and employees to reduce waste and to improve our recycling rate. In 2025 we will continue our multiyear projects to reduce our regional NPR and PR waste. Veldhoven (the Netherlands) • We will implement the waste recycling improvements identified in the Veldhoven campus investigation. • We will begin optimizing the gathering of clean waste streams (in one of our warehouses) to enable recycling by a waste hauler. Linkou and Tainan (Taiwan) • We are aiming to provide improved waste segregation facilities in our offices and warehouses Wilton (US) • We will further improve the separation of plastics to increase the recycling rate. • Together with one of our glass suppliers, we will start a feasibility pilot to see if certain glasses can be reused. • We will start a filter cake study to assess the recyclability of the solid mass remaining on a filter. • The amount of reusable packaging will be increased. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 240 General disclosures Environmental Social Governance Circular economy: Non-product-related waste (hazardous and non-hazardous) (continued)


 
Our scope Real estate (building renovation and construction) refers to all ASML-owned and leased buildings. In our owned real estate portfolio management, we aim to have our newly built and renovated buildings (exceeding €20 million investment) BREEAM-certified for buildings in the EU, LEED-certified for buildings in the US and Asia, and LEED/G-SEED-certified for buildings in South Korea. These certifications emphasize sustainability through the circular use of materials. Why it matters: Impacts, risks and opportunities For real estate, we have identified the following: Impacts: Waste produced from our operations Targets and performance There are currently no targets set on construction waste. As we continue to expand our facilities, we aim to maximize the recycling of waste from our construction activities. In 2024, we generated 1,419 tonnes of construction waste – 88% of which was recycled. Our actions and resources We use guidelines to ensure most of our self-owned new and existing office and industrial buildings are as sustainable as possible: Adopting green building standards In 2024, we created our own Green Building standards with high-level, overarching requirements applicable for owned buildings. This will lead to consistency in requirements – for example, in using sustainable materials – including waste segregation and improving recycling of construction waste. We will focus firstly on our large industrial sites before scaling up wherever possible to other sites. The Green Building standards for industrial buildings were approved in 2024 and we will use 2025 as a pilot year. The concept will be embedded in our real estate processes, so we can track desired outcomes. As of 2024, for some construction projects we report the waste of construction and demolition in our environmental reporting system. On a consolidated level, we monitor the results and inform real estate staff about project status. Adopting these Green Building standards will contribute to further improving our total waste and recycling rates. Gaining insights into waste streams Because our green construction philosophy considers the entire life cycle of a building, we also take construction and demolition waste into account. As a result of the company’s growth, we see an increase in new buildings and renovation projects worldwide – leading to more construction and demolition waste that needs to be tracked. In 2024, we worked on gaining detailed insights for these waste streams, and for disposal methods handled by our constructors at five large construction and demolition projects worldwide. This will give us greater control over construction waste, allowing us to define a realistic target for construction and demolition waste in the near future. Actions based on the insights: • We provided our contractors and waste handlers with stricter circularity guidelines for processing construction and demolition waste. • We created a guidance document for project managers and contractors to report construction and demolition waste through a standardized report to simultaneously simplify their work and increase our insights. • We expanded our environmental reporting system to include construction and demolition waste handled by contractors worldwide. Resources As the resources related to our actions regarding construction waste cannot be fully distinguished from the Energy efficiency and climate action activities we disclose them combined in the section Energy efficiency and climate action – Manufacturing and buildings. In our EU Taxonomy section, we have included our assessment of the capex for buildings in scope for economic activity 7.2 Renovation of existing buildings and 7.7 Acquisition and ownership of buildings. The resources dedicated to the actions described above originate from both our energy master plan and our waste master plan. These are quantified in the preceding sections. Read more in Sustainability statements – Environmental – EU Taxonomy Looking ahead For construction waste, aided by the increased insights into waste streams, we aim to establish a recycling rate baseline, setting a target to meet in 2026 in the Netherlands, and in 2027 for our other locations. We also aim to carry out a pilot in the Netherlands, with circularity guidance for new buildings by 2025. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 241 General disclosures Environmental Social Governance Circular economy: Real estate


 
Topic Description Unit 2024 Resource inflows Biological materials used in manufacturing that are sustainably sourced % — % Biological materials used to manufacture products and services that are sustainably sourced tonnes 800 Products and technical and biological materials used tonnes — Secondary reused or recycled components, secondary intermediary products and secondary materials used in manufacturing (including packaging) % — % Secondary reused components, secondary intermediary products and secondary materials used to manufacture products and services (including packaging) tonnes 10,963 Secondary recycled components used to manufacture products and services (including packaging) tonnes — Topic Description Unit 2024 Resource outflows Recyclable content in products and their packaging % 80.2% Recyclable content in products and their packaging tonnes — Topic Description Unit 2024 Waste generated by waste type Non-hazardous waste tonnes 12,513 Hazardous waste tonnes 1,024 Radioactive waste tonnes 0.1 Total amount of waste generated by waste type tonnes 13,537 Topic Description Unit 2024 Waste diverted from disposal by recovery operation type – Non- hazardous waste Preparation for reuse tonnes 129 Recycling tonnes 8,087 Other recovery operations tonnes 0 Amount of waste diverted from disposal by recovery operation type – Non-hazardous waste tonnes 8,216 Topic Description Unit 2024 Waste diverted from disposal by recovery operation type – Hazardous waste Preparation for reuse tonnes 37 Recycling tonnes 757 Other recovery operations tonnes 0 Amount of waste diverted from disposal by recovery operation type – Hazardous waste tonnes 794 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 242 General disclosures Environmental Social Governance Circular economy: Metrics table


 
Topic Description Unit 2024 Waste diverted from disposal by recovery operation type – Radioactive Preparation for reuse tonnes 0.0 Recycling tonnes 0.0 Other recovery operations tonnes 0.0 Amount of waste diverted from disposal by recovery operation type – Radioactive tonnes 0.0 Topic Description Unit 2024 Waste directed to disposal by treatment type – Non-hazardous waste Incineration tonnes 3,730 Landfill tonnes 567 Other disposal operations tonnes 0 Amount of waste directed to disposal by treatment type – Non-hazardous waste tonnes 4,297 Topic Description Unit 2024 Waste directed to disposal by treatment type – Hazardous waste Incineration tonnes 212 Landfill tonnes 18 Other disposal operations tonnes 0 Amount of waste directed to disposal by treatment type – Hazardous waste tonnes 230 Topic Description Unit 2024 Amount of waste directed to disposal by treatment type – Radioactive Incineration tonnes 0.0 Landfill tonnes 0.1 Other disposal operations tonnes 0.0 Amount of waste directed to disposal by treatment type – Radioactive tonnes 0.1 Topic Description Unit 2024 Non-recycled Preparation for reuse tonnes 166 Non-recycled waste (including preparation for reuse) tonnes 4,693 Non-recycled waste (including preparation for reuse) % 34.7% STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 243 General disclosures Environmental Social Governance Circular economy: Metrics table (continued)


 
Topic Description Unit 2024 Non-hazardous waste General waste tonnes 3,295 Waste wood tonnes 2,611 Construction waste tonnes 1,419 Metals tonnes 1,377 Paper and cardboard tonnes 1,079 Plastic tonnes 729 Organic waste tonnes 334 Electronics tonnes 346 Glass tonnes 16 Other non-hazardous waste tonnes 1,307 Total non-hazardous waste tonnes 12,513 Topic Description Unit 2024 Hazardous waste Hazardous liquids tonnes 852 Filters tonnes 62 Empty packaging tonnes 35 Cleaning wipes tonnes 8 Lamps tonnes 1 Batteries tonnes 1 Other hazardous waste tonnes 65 Total hazardous waste tonnes 1,024 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 244 General disclosures Environmental Social Governance Circular economy: Metrics table (continued)


 
Methodology on targets Systems Percentage of systems sold in the past 30 years still active in the field We monitor the number of active systems in our installed base. This includes our EUV, DUV and PAS 5500 systems. We have calculated the percentage of all systems ever sold that are still in use. Some systems in the field may not be serviced by ASML, but are operational. For the indicator '% of active systems' we apply assumptions for the portion of systems active but not serviced by ASML. Based on historical information and experience, we estimate that 33% of non-ASML-serviced systems are still active in the field. Parts and tools including packaging and transport tools Achieve a 90% reuse rate of parts returned from the field and factory by 2025 For this target, we take into account the percentage of parts that contributed to a circular economy in the reporting year, measured in value, and based on return and recondition rates worldwide. Non-product-related waste (hazardous and non-hazardous) Achieve 295 kg of waste from operations (excluding construction waste) / €m revenue by 2025 The total waste from operations (excluding construction) is normalized to revenue per year. The kilograms of waste are determined via information provided by our waste disposal contractors. Waste from operations, measured in kilograms, is reported in our environmental management system, which allows us to monitor progress toward our target. Achieve a 65% recycling rate of waste from operations (excluding construction) by 2025 The recycling rate is calculated based on information on waste disposal methods provided by our waste disposal contractors. Construction waste Construction waste is excluded from the calculation of our targets because it does not result from our daily operations. The amount tends to fluctuate over the years and can therefore make the trend of the indicator unclear. However, construction waste is included in our actuals. Methodology on metrics E5-4 Resource inflows Resource inflows The resource inflows needed to build our systems are material. They consist of products, materials and their packaging. Some inflows contain critical raw materials and rare earths. Among these are tantalum, tungsten, tin and gold. Read more on Conflict minerals in Sustainability statements – Social – Responsible value chain Weight Weights are derived from material master data. If weights therein are not (yet) available, we have used estimation techniques. Weight of primary raw materials Establishing the weight of primary raw materials used during the reporting period is challenging due to the vast number of parts in our systems. Despite our significant efforts to gather the necessary information, we experienced difficulties in obtaining the weights of several materials and parts. As a result, we are unable to provide data that fully meets this requirement. Consequently, we report a ‘-’ for the following metrics: • Percentage of biological materials used in manufacturing that are sustainably sourced • Products and technical and biological materials used • Percentage of secondary reused or recycled components, secondary intermediary products and secondary materials used in manufacturing (including packaging) • Percentage of secondary recycled components used to manufacture products and services (including packaging) • Percentage of recyclable content in products and their packaging Weight of secondary reused or recycled components, secondary intermediary products, and secondary materials used to manufacture products and services (including packaging) To determine the weight of secondary materials used, we add up all goods movements for parts and packaging. The weight of recycled components in our inflow is estimated. For one of our systems a full breakdown of the mass per material category is made by subject matter experts. Subsequently, we determine the average recycled mass per material from public sources. The resulting weighted average of the share of recycled components is applied to the weight of our inflow. A component can be both recycled and reused. To avoid double counting, recycled components (including packaging) are only counted for the first time they enter the production process. Reused components (including packaging) are counted every subsequent entry that the component makes into the production process in the reporting year. Biological materials We use wood in our packaging. If this wood is certified according to the standards of the Forest Stewardship Council (FSC) or the Programme for the Endorsement of Forest Certification (PEFC), we consider it to be sustainably sourced. If wood is one of the elements of the packaging, subject matter experts have estimated the mass included in this metric. In using wood in our packaging, we support the cascading use of wood principles. Cascading use is a strategy to use raw materials such as wood, or other biomass, in chronologically sequential steps as long, often and efficiently as possible for materials and only to recover energy from them at the end of the product life cycle. It is the intention that the increased cascading use of wood will contribute to more resource efficiency and consequently reduce pressure on the environment. E5-5 Resource outflows Durability We have a shared interest with our customers to extend the lifetime of our systems as long as possible. This starts with the ability of our products, components and materials to remain functional and relevant when used as intended. There is no industry average for our products. Repairability There is no established rating system for repairability of our products, as a result we have not included a metric regarding this topic. Recyclable content in products and their packaging The weight of recyclable content in our outflow is estimated. For one of our machines a full breakdown is made of the mass per material category by subject matter experts. Subsequently, we determined the average recyclable mass per material from public sources. The resulting weighted average of the share of recyclable content is applied to the weight of our outflow. Waste The waste we report contains the waste we own or control. Waste disposal methods are reported by our waste disposal contractor. For (leased) office locations where waste hauler data is not available, the office waste is estimated based on square meters and the average office waste per square meter for comparable offices as a proxy. Radioactive waste Our total outflow of radioactive waste is determined in accordance with article 3(7) of Council Directive 2011/70/Euratom. Not the full weight reported is radioactive. The amount we report is the complete weight of products with a radioactive coating. However, we report the full weight, as it is not possible for us to make a reliable split. The products are stored (indefinitely) at the Central Organization for Radioactive Waste (COVRA) – a facility owned by the Dutch government and the only certified storage facility for radioactive waste in the Netherlands. Preparation for reuse Preparation for reuse consists of checking, cleaning, and/or repair and recovery operations, by which products or components of products that have become waste are prepared so that they can be reused without any other preprocessing. Non-recycled waste (including preparation for reuse) This metric gives the total of all our waste that is not recycled. This includes the waste prepared for reuse. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 245 General disclosures Environmental Social Governance Circular economy: Additional disclosures


 
Overview The EU Taxonomy Regulation (EU 2020/852) aims to create a common language and methodology for reporting on sustainability by providing appropriate criteria for determining which economic activities can be considered environmentally sustainable. The EU Taxonomy requires companies to report to what extent their economic activities are Taxonomy–eligible and aligned. The EU Taxonomy Regulation (EU 2020/852) is a core part of the European Green Deal. It supports the flow of capital toward more sustainable economic activities by creating a common language and standardized reporting methodology that helps determine which activities can and cannot be considered ‘environmentally sustainable’. The EU Taxonomy requires companies to report to what extent their economic activities are Taxonomy-eligible and aligned. For the 2024 reporting period, non-financial undertakings are required to disclose the proportion of key performance indicators – namely turnover, capital expenditure (capex) and operational expenditure (opex) – which is associated with activities eligible and aligned with one or more of the following six objectives: 1 Climate change mitigation (CCM) 2 Climate change adaptation (CCA) 3 Sustainable use and protection of water and marine resources (WTR) 4 Transition to a circular economy (CE) 5 Pollution prevention and control (PPC) 6 Protection and restoration of biodiversity and ecosystems (BIO) Turnover Overview of turnover, including environmental objectives, key activities. Read more on page 251 > Capital expenditure Overview of capital expenditure, including environmental objectives and key activities. Read more on page 252 > Operational expenditure Overview of operational expenditure, including environmental objectives and key activities. Read more on page 254 > STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 246 General disclosures Environmental Social Governance EU Taxonomy at a glance Summary FY 2024 % FY 2023 % Turnover 28,262.9 100 % 27,558.5 100 % Taxonomy-aligned turnover 0.0 0% 0.0 0% Taxonomy-eligible turnover 27,669.8 98% 26,668.5 97% CE 1.2 Manufacturing of electrical equipment 23,402.2 83% 23,903.0 87% CE 5.1 Repair, refurbishment and remanufacturing 3,595.3 13% 2,404.0 9% CE 5.2 Sale of spare parts 42.1 0% 45.5 0% CE 5.4 Sale of second-hand goods 630.2 2% 316.0 1% Taxonomy-non-eligible turnover 593.1 2% 890.0 3% Capital expenditure 3,315.0 100% 3,394.2 100% Taxonomy-aligned capex 74.1 2% 0.0 0% CCM 7.7 Acquisition and ownership of buildings 74.1 2% 0.0 0% Taxonomy-eligible capex 2,768.7 84% 1,614.2 48% CE 1.2 Manufacturing of electrical equipment 1,879.2 57% 945.4 28% CCM 4.1 Electricity generation using solar photovoltaic technology 2.2 0% 0.0 0% CCM 4.9 Transmission and distribution of electricity 25.2 1% 0.0 0% CCM 7.2 Renovation of existing buildings 252.2 8% 35.1 1% CCM 7.7 Acquisition and ownership of buildings 609.9 18% 633.7 19% Taxonomy-non-eligible capex 472.2 14% 1,780.0 52% Operational expenditure 3,181.0 100% 3,035.2 100% Taxonomy-aligned opex 0.0 0% 0.0 0% Taxonomy-eligible opex 3,181.0 100% 3,035.2 100% CE 1.2 Manufacturing of electrical equipment 3,181.0 100% 3,035.2 100% Taxonomy-non-eligible opex 0.0 0% 0.0 0%


 
EU Taxonomy disclosure The EU Taxonomy alignment assessment considers whether the economic activity: • Is Taxonomy-eligible (i.e. if the economic activity is included in the EU Taxonomy list of eligible activities) • Makes a substantial contribution to at least one of the environmental objectives • Does not significantly harm (DNSH) any of the other objectives • Meets minimum safeguards constituted chiefly by the OECD Guidelines and UN Guiding Principles The substantial contribution and DNSH criteria are collectively referred to as the ‘technical screening criteria’. Reporting scope The EU Taxonomy has been prepared on a consolidated basis, the scope of which is the same as for the Consolidated financial statements in line with the EU- IFRS. No subsidiaries are exempt. Basis for preparation We prepared our EU Taxonomy disclosure in accordance with Commission Delegated Regulations EU 2021/2178 and EU 2023/2486, as well as Commission Notices answering frequently asked questions (FAQs) about EU Taxonomy reporting. We used Regulation (EU) 2020/852 as supplemented with Commission Delegated Regulations (EU) 2021/2139, (EU) 2023/2485 and (EU) 2023/2486) to identify eligible activities, assess which activities were aligned, and screen alignment with the minimum safeguards. We also calculated metrics for eligibility and alignment based on these screening results. For the EU Taxonomy assessment, we applied a materiality threshold – that is in line with our financial reporting – to focus on the activities with the highest environmental impact. Finally, our EU Taxonomy activities can potentially substantial contribute to multiple environmental objectives; to prevent double counting this is indicated in the numerator of turnover, capex and opex KPIs across activities in the templates. Our assessment was based on our interpretations of how the regulation applies to our business activities and the impact thereof on eligibility and alignment. Future guidance could result in more accurate definitions and altered decision-making in meeting reporting obligations that may come into force, which could impact future EU Taxonomy reporting. Each step is discussed in the following section. Relevant information from the detailed EU Taxonomy templates for the KPIs of non-financial undertakings are included in the following sections. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 247 General disclosures Environmental Social Governance The EU Taxonomy at ASML


 
We apply a five step approach to our EU Taxonomy assessment 1. Identification of eligible activities 2. Substantial contribution 3. Do no significant harm 4. Compliance with minimum safeguards 5. KPI Eligibility Compliance with technical screening criteria Compliance with minimum safeguards Alignment with Taxonomy Manufacture of electrical and electronic equipment (CE 1.2) Technical screening criteria are not met FY 2024 We are compliant with minimum safeguards FY 2024. Turnover 98% eligible – not aligned 0% eligible – aligned 2% not eligible Electricity generation using solar photovoltaic technology (CCM 4.1) Technical screening criteria are not met FY 2024 Transmission & distribution of electricity (CCM 4.9) Technical screening criteria are not met FY 2024 Capital expenditure 84% eligible – not aligned 2% eligible – aligned 14% not eligible Repair, refurbishment and remanufacturing (CE 5.1) Technical screening criteria are not met FY 2024 Sale of spare parts (CE 5.2) Technical screening criteria are not met FY 2024 Sale of second-hand goods (CE 5.4) Technical screening criteria are not met FY 2024 Operational expenditure 100% eligible – not aligned 0% eligible – aligned 0% not eligible Renovation of existing buildings (CE 7.2) Technical screening criteria are not met FY 2024 Acquisition and ownership of buildings (CE 7.7) Technical screening criteria are met FY 2024 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 248 General disclosures Environmental Social Governance The EU Taxonomy at ASML (continued) Eligibility overview The table below indicates the environmental objective, eligible activity and the related KPI. We identified two new eligible activities compared to 2023, being activity 4.1 Electricity generation using solar photovoltaic technology and 4.9 Transmission and distribution of electricity. The next sections present the assessment of the technical screening criteria, the minimum safeguards, calculation methodology, and the proportion of the KPIs that are Taxonomy-eligible and aligned. Environmental objective Taxonomy-eligible activity Related KPI Circular economy (CE) 1.2 Manufacture of electrical and electronic equipment Turnover, opex, capex Climate change mitigation (CCM) 4.1 Electricity generation using solar photovoltaic technology Capex Climate change mitigation (CCM) 4.9 Transmission and distribution of electricity Capex Circular economy (CE) 5.1 Repair, refurbishment and remanufacturing Turnover Circular economy (CE) 5.2 Sale of spare parts Turnover Circular economy (CE) 5.4 Sale of second-hand goods Turnover Climate change mitigation (CCM) 7.2 Renovation of existing buildings Capex Climate change mitigation (CCM) 7.7 Acquisition and ownership of buildings Capex


 
Taxonomy eligibility assessment We assessed the eligibility of our economic activities in 2024 against the six environmental objectives. Turnover The cornerstone of our circular approach is our modular design strategy, which allows us to upgrade a system without replacing the entire product. Extending a product’s lifetime is also possible by refurbishing systems after their use, and repurposing them for other customers and semiconductor environments. Our total turnover under the EU Taxonomy Regulation comprises the Total net sales in the Consolidated statement of profit or loss in the Consolidated financial statements. We consider our Net system sales (new systems) and certain activities related to Net service and field option sales, such as installation and relocation, as eligible for CE 1.2 Manufacturing of electrical and electronic equipment for industrial, professional and consumer use. We also repair and refurbish our machines. This includes warranties and service contracts to extend a product’s lifetime and restore or improve performance or functionality. Finally, we also offer spare parts to customers when they are needed and resell systems that have been used by a customer. Our non-eligible turnover relates to activities not included in the Climate and Environmental Delegated Acts, such as our software, training and other service projects. Turnover <Not eligible 2% < Eligible – Not aligned (A.2) 98% < Eligible – Aligned (A.1) 0% Capital expenditure The proportion of total capex relating to Taxonomy- eligible activities is determined by assessing the economic activities for each significant asset group. Groups below the threshold compared to the overall capex, as defined by the EU Taxonomy Regulation, have been excluded and are reported as non-eligible capex. Our total capex under the EU Taxonomy Regulation comprises the following items in the Consolidated financial statements: • Additions in property, plant and equipment (Note 13) • Additions in intangible assets, net (Note 12) • Additions to right-of-use assets and lease liabilities (Note 14) We renovated multiple buildings over the last year. The corresponding capex is considered eligible under activity CCM 7.2, as the focus of the renovation was to improve energy efficiency rather than circularity. We also carried out several construction projects. The capex corresponding to these projects is considered eligible under economic activity CCM 7.7 Acquisition and ownership of buildings. The capex related to Taxonomy eligible activities includes eligible capitalized R&D costs. R&D is an integral part of our operations, and it relates to the design, manufacturing and technology of our products which is eligible under CE 1.2. Furthermore, we have concluded that capex related to machinery and equipment which are associated with our Taxonomy eligible economic activity CE1.2 Manufacturing of electrical and electronic equipment can be considered as eligible capex. Therefore we adjusted our 2023 comparative figures, resulting in 87% eligibility. Finally, the majority of property, plant and equipment in relation to right-of-use assets is related to land and therefore considered not eligible. Capital expenditure <Not eligible 14% < Eligible – Not aligned (A.2) 84% < Eligible – Aligned (A.1) 2% STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 249 General disclosures Environmental Social Governance The EU Taxonomy at ASML (continued)


 
Operational expenditure The EU Taxonomy defines the denominator of the opex KPI as any direct non-capitalized costs that relate to R&D, building renovation, short-term lease, maintenance and repair, and any other direct expenditures relating to the day-to-day servicing of assets of property, plant and equipment by the undertaking party, or third party to whom activities are outsourced, that are necessary to ensure the continued and effective functioning of such assets. Under this definition, the total opex for ASML is limited to R&D costs in the Consolidated financial statements which is eligible under CE 1.2. The proportion of total opex that relates to Taxonomy-eligible and aligned activities is determined by assessing opex related to assets or processes associated with Taxonomy-eligible and aligned activities, such as training, other human resources adaptation needs, and direct non-capitalized costs that represent R&D. The EU Taxonomy requires companies to report to what extent their economic activities are Taxonomy-eligible and aligned. Operational expenditure <Not eligible 0% < Eligible – Not aligned (A.2) 100% < Eligible – Aligned (A.1) 0% Minimum safeguards Article 18 of the EU Taxonomy also outlines the minimum safeguards (MS) criteria that must be met for an economic activity to be considered Taxonomy- aligned. These safeguards essentially act as a ‘safety net’ to ensure that while an activity contributes to an environmental objective, it does not, for example, breach human rights law – the minimum safeguards essentially work to mandate a just transition. The MS can also be categorized into four topics: human rights (including labor and consumer rights), anti-bribery and anti-corruption, taxation and fair competition. After the update of the OECD Guidelines for Multinational Enterprises in 2023 we further improved our processes to identify, cease, prevent, mitigate and remediate human rights impacts in our value chains to align our operations and practices with the update. For more detailed information, we refer to the ASML Code of Conduct, the ASML Human Rights Policy or the RBA Code of Conduct for ASML’s current practices related to human rights in our own operation and value chains. Nuclear and fossil gas related activities We do not have any economic activities related to nuclear energy and fossil gas, meaning the Complementary Climate Delegated Act of the EU Taxonomy is considered not relevant. The following table is the mandatory table outlined in this Complementary Climate Delegated Act. Nuclear energy related activities 1 The undertaking carries out, funds or has exposures to research, development, demonstration and deployment of innovative electricity generation facilities that produce energy from nuclear processes with minimal waste from the fuel cycle. No 2 The undertaking carries out, funds or has exposures to construction and safe operation of new nuclear installations to produce electricity or process heat, including for the purposes of district heating or industrial processes such as hydrogen production, as well as their safety upgrades, using best available technologies. No 3 The undertaking carries out, funds or has exposures to safe operation of existing nuclear installations that produce electricity or process heat, including for the purposes of district heating or industrial processes such as hydrogen production from nuclear energy, as well as their safety upgrades. No Fossil gas related activities 4 The undertaking carries out, funds or has exposures to construction or operation of electricity generation facilities that produce electricity using fossil gaseous fuels. No 5 The undertaking carries out, funds or has exposures to construction, refurbishment and operation of combined heat/cool and power generation facilities using fossil gaseous fuels. No 6 The undertaking carries out, funds or has exposures to construction, refurbishment and operation of heat generation facilities that produce heat/cool using fossil gaseous fuels. No STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 250 General disclosures Environmental Social Governance The EU Taxonomy at ASML (continued)


 
For all our eligible circular economy activities, we assessed the technical screening criteria to determine the conditions under which the activities qualified as substantially contributing to the transition to a circular economy, and whether those activities caused no significant harm to any of the other environmental objectives. The Manufacture of electrical and electronic equipment (CE 1.2) and the other activities related to turnover (Repair, refurbishment and remanufacturing (CE 5.1), Sale of spare parts (CE 5.2) and Sale of second-hand goods (CE 5.4)) did not meet the technical screening criteria. Specifically, the criteria under 2.4 Design for dismantling, 2.5 Design for recyclability, 2.6 Proactive substitution of hazardous substances and 2.7 Information to customers are not fully met. For this reason, we are reporting 0% of aligned activities for these economic activities. Since the reporting of alignment for the Environmental Delegated Act outlining the circular economy activities is only applicable as of the reporting period 2024, no comparative alignment figures are included in the table below. Currently, we have no objectives or plans (capex plans as referred to by the Disclosures Delegated Act) for aligning our economic activities under turnover with the criteria established in the near future. Financial year 2024 Substantial contribution criteria DNSH criteria Economic activities (1) Code (2) Turnover (3) Proportion of turnover (4) Climate change mitigation (5) Climate change adaptation (6) Water (7) Pollution (8) Circular economy (9) Bio- diversity (10) Climate change mitigation (11) Climate change adaptation (12) Water (13) Pollution (14) Circular economy (15) Bio- diversity (16) Minimum safeguards (17) Proportion of Taxonomy- aligned (A.1) or eligible (A.2) Turnover, year N-1 (18) Category (enabling activity) (19) Category (transitiona l activity) (20) €, in millions % Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N Y; N Y; N Y; N Y; N Y; N Y; N % E T A. Taxonomy-eligible activities A.1 Environmentally sustainable activities (Taxonomy-aligned) capex of environmentally sustainable activities (Taxonomy-aligned) (A.1) 0.0 0% 0% 0% 0% 0% 0% 0% Of which, enabling 0.0 0% 0% 0% 0% 0% 0% 0% E Of which, transitional 0.0 0% 0% T A.2 Taxonomy-eligible but not environmentally sustainable activities EL; N/EL1 EL; N/EL1 EL; N/EL1 EL; N/EL1 EL; N/EL1 EL; N/EL1 Manufacturing of electrical equipment CE 1.2 23,402.2 83% N/EL N/EL N/EL N/EL EL N/EL 87% Repair, refurbishment and remanufacturing CE 5.1 3,595.3 13% N/EL N/EL N/EL N/EL EL N/EL 9% Sale of spare parts CE 5.2 42.1 0% N/EL N/EL N/EL N/EL EL N/EL 0% Sale of second-hand goods CE 5.4 630.2 2% N/EL N/EL N/EL N/EL EL N/EL 1% Turnover of Taxonomy-eligible but not environmentally sustainable activities (not Taxonomy-aligned activities) (A.2) 27,669.8 98% 0% 0% 0% 0% 98% 0% 97% A. Turnover of Taxonomy-eligible activities (A.1+A.2) 27,669.8 98% 0% 0% 0% 0% 98% 0% 97% B. Taxonomy-non-eligible activities Turnover of Taxonomy-non-eligible activities 593.1 2% Total 28,262.9 100% 1. EL: Eligible; N/EL: Non-eligible STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 251 General disclosures Environmental Social Governance Turnover


 
For all our eligible capital expenditure (capex) activities, we assessed the technical screening criteria. For all eligible construction and renovation activities, a physical climate risk assessment was needed pursuant to Appendix A to the Climate Delegated Act to meet the DNSH criteria. In 2024, we continued the application of TCFD guidelines to assess physical and transition risks and opportunities in both a 1.5°C and a 4°C climate scenario. The assessment also considered the impacts of climate- related risks and opportunities, including the potential effect on ASML through its suppliers and customers. The risk of physical climate hazards at the construction sites was ‘low’, meaning the DNSH criteria were met without the need for adaptation measures. The full results of the assessment, including the identification of mitigating measures, are further integrated into our ERM process. Read more in our TCFD Report: Climate-related disclosure, available at asml.com The buildings in scope for renovation (CCM 7.2) met the substantial contribution criteria by reducing the primary energy demand by more than 30%. However, the DNSH criteria relating to water usage were not met; as such, we report 0% alignment on CCM 7.2. The buildings in scope for 7.7 Acquisition and ownership of buildings (CCM 7.7) meet the technical screening criteria, resulting in 2% of aligned activities. Property, plant and equipment in relation to right-of-use assets were considered not aligned under activity CCM 7.2. The information needed to assess the TSC and DNSH is not available for the buildings we lease. We consider, therefore, the technical screening and the DNSH criteria as not met, and as such are reporting 0% alignment. Since the technical screening criteria for our activities under circular economy are not met, we also report 0% alignment related to assets and processes that are associated with the economic activities under circular economy. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 252 General disclosures Environmental Social Governance Capital expenditure


 
Financial year 2024 Substantial contribution criteria DNSH criteria Economic activities (1) Code (2) Capex (3) Proportion of capex (4) Climate change mitigation (5) Climate change adaptation (6) Water (7) Pollution (8) Circular economy (9) Bio diversity (10) Climate change mitigation (11) Climate change adaptation (12) Water (13) Pollution (14) Circular economy (15) Bio diversity (16) Minimum safeguards (17) Proportion of Taxonomy aligned (A.1) or eligible (A.2) capex, year N-1 (18) Category (enabling activity) (19) Category (transitiona l activity) (20) €, in millions % Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N Y; N Y; N Y; N Y; N Y; N Y; N % E T A. Taxonomy-eligible activities A.1 Environmentally sustainable activities (Taxonomy-aligned) Acquisition and ownership of buildings CCM 7.7 74.1 2% Y N N/EL N/EL N/EL N/EL Y Y Y Y Y Y 0% E Capex of environmentally sustainable activities (Taxonomy- aligned) (A.1) 74.1 2% 2% 0% 0% 0% 0% 0% 0% Of which, enabling 74.1 2% 2% 0% 0% 0% 0% 0% 0% E Of which, transitional 0.0 0% 0% 0% T A.2 Taxonomy-eligible but not environmentally sustainable (not Taxonomy-aligned activities) EL; N/EL1 EL; N/EL1 EL; N/EL1 EL; N/EL1 EL; N/EL1 EL; N/EL1 Manufacturing of electrical equipment CE 1.2 1,879.2 57% N/EL N/EL N/EL N/EL EL N/EL 59% Electricity generation using solar photovoltaic technology CCM 4.1 2.2 0% EL N/EL N/EL N/EL N/EL N/EL 0% Transmission & distribution of electricity CCM 4.9 25.2 1% EL N/EL N/EL N/EL N/EL N/EL 0% Renovation of existing buildings CCM 7.2 CCA 7.2 CE 3.2 252.2 8% EL EL N/EL N/EL EL N/EL 1% Acquisition and ownership of buildings CCM 7.7 CCA 7.7 609.9 18% EL EL N/EL N/EL N/EL N/EL 19% Capex of Taxonomy-eligible but not environmentally sustainable activities (not Taxonomy-aligned activities) (A.2) 2,768.7 84% 27% 0% 0% 0% 57% 0% 79% A. Capex of Taxonomy-eligible activities (A.1+A.2) 2,842.8 86% 29% 0% 0% 0% 57% 0% 79% B. Taxonomy-non-eligible activities Capex of Taxonomy-non-eligible activities 472.2 14% Total 3,315.0 100% 1. EL: Eligible; N/EL: Non-Eligible Proportion of capex / total capex Taxonomy-aligned per objective Taxonomy-eligible per objective Climate change mitigation (CCM) 2 % 27 % Climate change adaption (CCA) 0 % 26 % Water (WTR) 0 % 0% Circular economy (CE) 0 % 65 % Pollution (PPT) 0 % 0% Bio diversity (BIO) 0 % 0% STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 253 General disclosures Environmental Social Governance Capital expenditure (continued) The table on the left indicates the extent of eligibility and alignment per environmental objective, including activities contributing substantially to several objectives.


 
For all our eligible operational expenditure (opex) activities, we assessed the technical screening criteria. The proportion of total opex that relates to Taxonomy- aligned activities is determined by assessing opex related to assets or processes associated with Taxonomy-aligned economic activities, including training and other human resources adaptation needs, and direct non-capitalized costs that represent R&D. We assessed the economic activities of the R&D costs that are not capitalized but accounted for in our Consolidated statement of profit or loss associated with CE 1.2 Manufacture of electrical and electronic equipment. Since the technical screening criteria for our activities under circular economy are not met, we report 0% alignment related to assets and processes that are associated with the economic activities under circular economy. Since the reporting of alignment for the Environmental Delegated Act outlining the circular economy activities is only applicable as of the reporting period 2024, no comparative alignment figures are included in the table below. Financial year 2024 Substantial contribution criteria DNSH criteria Economic activities (1) Code (2) Opex (3) Proportion of opex (4) Climate change mitigation (5) Climate change adaptation (6) Water (7) Pollution (8) Circular economy (9) Bio- diversity (10) Climate change mitigation (11) Climate change adaptation (12) Water (13) Pollution (14) Circular economy (15) Bio- diversity (16) Minimum safeguards (17) Proportion of Taxonomy- aligned (A.1) or eligible (A.2) opex, year N-1 (18) Category (enabling activity) (19) Category (transitional activity) (20) €, in millions % Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N; N/EL Y; N Y; N Y; N Y; N Y; N Y; N Y; N % E T A. Taxonomy-eligible activities A.1 Environmentally sustainable activities (Taxonomy-aligned) Opex of environmentally sustainable activities (Taxonomy-aligned) (A.1) 0.0 0% 0% 0% 0% 0% 0% 0% Of which, enabling 0.0 0% 0% 0% 0% 0% 0% 0% E Of which, transitional 0.0 0% T A.2 Taxonomy-eligible but not environmentally sustainable (not Taxonomy- aligned activities) EL; N/EL1 EL; N/EL1 EL; N/EL1 EL; N/EL1 EL; N/EL1 EL; N/EL1 Manufacturing of electrical equipment CE 1.2 3,181.0 100% N/EL N/EL N/EL N/EL EL N/EL 100% Opex of Taxonomy-eligible but not environmentally sustainable activities (not Taxonomy-aligned activities) (A.2) 3,181.0 100% 0% 0% 0% 0% 100% 0% 100% A. Opex of Taxonomy-eligible activities (A.1+A.2) 3,181.0 100% 0% 0% 0% 0% 100% 0% 100% B. Taxonomy-non-eligible activities Opex of Taxonomy-non-eligible activities 0.0 0% Total 3,181.0 100% 1. EL: Eligible; N/EL: Non-Eligible STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 254 General disclosures Environmental Social Governance Operational expenditure


 
Our ambition We aim to have a positive social impact by providing an attractive workplace, ensuring a responsible value chain, supporting an innovation ecosystem and being a valued partner in our communities. On the following pages, we set out our approach and progress to date. Attractive workplace for all We aim to attract and retain a healthy, diverse and engaged workforce – one that is proud to be part of ASML and that can deliver on our vision and ambitions. We aim to attract and retain a healthy, diverse and engaged workforce. Read more on page 256 > We’ll do this by focusing on the following sub-topics: • Talent attraction, employee engagement and retention • Learning and development • Diversity and inclusion • Occupational health and safety • Labor conditions • Well-being Innovation ecosystem We aim to collaborate with partners to build a thriving, multi-regional innovation ecosystem that helps solve some of humanity’s toughest challenges. A thriving, multi-regional innovation ecosystem that helps solve some of humanity’s toughest challenges. Read more on page 293 > We’ll do this by focusing on the following sub-topics: • ESG innovation • STEM education to feed the STEM pipeline for ASML STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 255 General disclosures Environmental Social Governance Social at a glance Responsible value chain We aim to work with value chain partners that are aligned with our values and committed to upholding international human rights and environmental standards. We aim to prevent, mitigate and manage adverse environmental and human rights impacts in our value chain. We’ll do this by focusing on the following sub-topics: • Responsible product design • Responsible supply chain • Responsible product use Read more on page 284 > Valued partner in our communities We aim for our communities to benefit from our presence as we benefit from theirs – supporting each other’s development by playing an active role locally, everywhere we operate. ASML and communities benefit from each other’s presence and support each other’s development. Read more on page 302 > We’ll do this by focusing on the following sub-topics: • Attractive communities • Inclusive communities • Investing in STEM education


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 256 General disclosures Environmental Social Governance Attractive workplace for all We aim to attract and retain a healthy, diverse and engaged workforce ...for the planet ...for ASML As an employer we have a responsibility to provide a working environment where people can develop their talents, feel respected and safe, and be healthy and thrive. This includes creating an inclusive culture where people are supported in their learning, leadership, advancement and well-being. We want to foster an exceptional workplace for our exceptional talent. By prioritizing employee development and well-being, we empower employees to contribute meaningfully to their communities. As a key partner in the semiconductor ecosystem, we have a responsibility to deliver the technology our customers need to drive innovation. To maintain our fast pace of innovation, we need to attract and retain the best talent. By investing in our people, we help them reach their full potential and enable us to keep driving technology forward. We expect a significant growth in number of employees by 2030 – strong leadership, people development, and inclusion will be crucial for this and for our future success. Creating a safe and inclusive culture where people are supported in their learning, leadership and advancement, and well-being is important: ...for our customers Our diverse and highly skilled people are key to meeting the needs of our customers through quality innovation and support. ...for our employees Creating a fair working environment where people can grow to their full potential, feel respected and safe is key to attracting and retaining the best talent. ...for our suppliers Our people approach is closely aligned with our values which extend to our value chain partners and aligned to upholding international human rights. ...for our shareholders Engaged, diverse and highly skilled people are key to our fast pace of innovation and long-term success. ...for society By upholding international human rights and providing for fair and secure employment opportunities, we enhance the quality of life of many members of the community who we call our employees and whose causes we support in giving back to society. Read more about our double materiality process and identified impacts, risks and opportunities for this theme in Sustainability statements – General disclosures – Impact, risk and opportunity management Our 2024 progress: 78.9% 26% Employee engagement score (three-year rolling average) Gender diversity: % inflow of women (2025 target: >-2.0% vs. top 25% performing companies. Employee engagement score against benchmark 2024 -2.1%) (2025 target: 24%) 3.8% 30% Attrition rate Gender diversity: % inflow of women to job grade 9+ (2025 target: <7%) (2025 target: 24%) 12% 18% Gender diversity: % representation of women in job grade 13+ Gender diversity: % inflow of women to job grade 13+ (2024 target: 12%) (2024 target: 20%) Why it matters


 
Our objective We strive to empower our workforce to deliver on our vision by ensuring people are proud to be part of ASML and are engaged with our ambitions. Healthy, diverse, engaged and highly skilled people are key to our performance and long- term success. We aim to create an exceptional workplace for our exceptional talent. Talent attraction, employee engagement and retention Learning and development Diversity and inclusion Foster inclusion, diversity and belonging in a safe environment for all ASML workers, where everyone is valued, respected and can fully contribute. Enable an exceptional workplace allowing ASML to attract, engage and retain exceptional talent to support the growth of the company. Provide employees with the right knowledge, expertise, skills and competencies to maintain technological leadership and empower them to take responsibility for their personal development and career ambitions. Occupational health and safety Labor conditions Well-being Provide fair labor conditions and social protection for all workers, regardless of their location and whether they are on fixed or temporary contracts. Support employees in maintaining a healthy, productive and balanced life by integrating well-being into everyone’s day-to-day work. Provide injury-free and healthy working conditions for everyone on our premises by eliminating hazards, reducing safety risks and preventing occupational ill health. Specific roles and responsibilities for this topic The following sub-committees support the operational execution of the people strategy: Our Global Diversity and Inclusion Council (GDIC) consists of senior leaders who act on our behalf to provide thought leadership. The Council, chaired by the Chief Executive Officer, proposes the diversity and inclusion (D&I) strategy to the Board of Management (BoM), sets, promotes and monitors D&I initiatives and leads company-wide accountability for our goals. The D&I team is responsible for driving initiatives across ASML. There is also a US D&I Council with a similar make-up of business leaders across the US. Our Environment, Health and Safety (EHS) and Business Continuity Committee, chaired by the Chief Operations Officer, oversees and approves the EHS strategy. Line managers are responsible for day-to-day EHS management and performance. The EHS Competence Center (EHS Experts) brings together best practices, defines our EHS standards and supports managers to implement these standards in the workplace. Read more about roles and responsibilities in Sustainability statements – General disclosures – ESG sustainability governance STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 257 General disclosures Environmental Social Governance Attractive workplace for all: How we’re managing


 
Our approach Our people strategy builds on a solid foundation and on our values. Our strategic approach is based on four pillars: making our organization more scalable and sustainable by ensuring clarity and knowledge-sharing; building a workplace that works for everyone by fostering inclusion, diversity and belonging; investing in people development for all employees and strengthening our leadership by accelerating their development; and building a pipeline of future leaders. Our Attractive Workplace for All Policy applies to all our workers – employees, directors and officers of ASML and the ASML group of companies. In some cases, the scope of this policy extends to non- employees, either working for temporary placement agencies, on behalf of ASML or as individual contractors (self-employed people). In joint ventures and strategic partnerships where we have a non-controlling interest – for example, in instances where our staff are also working at our customers’ own sites – we make reasonable efforts to ensure consistency with the policy. In addition, we expect third parties – defined as any non- ASML legal entity or individual with whom ASML engages in a business relationship – to participate in a common effort toward protecting the human rights of our workforce. The Attractive Workplace for All Policy is closely linked to the ASML Code of Conduct, the RBA Code of Conduct, the ASML Human Rights Policy and the ASML Global Diversity and Inclusion Policy. Read more in our Human Rights Policy and in Sustainability statements – Social – Responsible value chain – Responsible supply chain We have identified the following workforce- related material sub-topics: • Talent attraction, employee engagement and retention • Learning and development • Diversity and inclusion • Occupational health and safety • Labor conditions • Well-being Human rights We support the guidelines laid down in the UN Guiding Principles on Business and Human Rights (UNGPs) and are committed to the International Bill of Human Rights. The provisions of our Human Rights Policy are derived from key international human rights standards including the ILO Declaration on Fundamental Principles and Rights at Work and the UN Declaration of Human Rights, the UN Global Compact, the principles specified in the OECD Guidelines for Multinational Enterprises, and other relevant standards such as the UN Women’s Empowerment Principles, UNICEF’s Children’s Rights and Business Principles and the UN International Convention on the Protection of the Rights of All Migrant Workers and Members of Their Families. Our Human Rights Policy is a cornerstone of the ESG strategy; and sets out ASML’s roadmap and initiatives toward effectively and responsibly managing areas of human rights impacts in the ecosystem where ASML operates. Read more in Strategic report – Corporate conduct – Respecting human rights STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 258 General disclosures Environmental Social Governance Attractive workplace for all: How we’re managing (continued) ASML people strategy Develop a scalable and sustainable organization Build a workplace that works for everyone Exceptional talent, exceptional workplace Invest in people effectiveness and development Strengthen our leadership


 
Levers for action Talent attraction, employee engagement and retention Ensuring an outstanding employee experience contributes to attracting and retaining talent. To us, employee experience means the sum of all experiences an employee gains through interactions with us at each stage of the employee life cycle – from attraction and onboarding, to personal development, to exit. We focus on employer branding and employee engagement (including talent attraction and retention), learning and development (including onboarding), and labor practices such as fair remuneration, labor conditions, and health and well- being. Employer branding As top-tier talent selects their employer of choice, a strong value proposition is important for us. To track effectiveness, we measure the employer preferences of our target audiences in the main locations we operate in. The employer brand rankings provide us with key insights about priority target groups, which we use to improve the candidate experience and rapidly hire top talent. Employee engagement Employee engagement depends on a wide variety of factors such as well-being, onboarding experience, learning and development, D&I, labor practices and leadership. The overall impact of these programs is measured by our annual employee engagement survey – a crucial tool for collecting and measuring employee feedback, providing insights that enable us to improve the employee experience and refine our policies. Employee retention Employee retention is important for maintaining knowledge, team stability and efficiency. It greatly depends on the success of our activities on a wide variety of factors, as well as external factors in the job market. We recognize that when employees leave it is an opportunity to bring in new talent and enhance existing talent. We therefore strive for a healthy attrition rate (percentage of employees leaving the company) and track and monitor this. Read more on how we engage with our employees in Sustainability statements – Social – Attractive workplace for all – How we're managing – Process for engaging Learning and development We are committed to providing employees with the right knowledge, expertise, skills and competencies to maintain technological leadership and keep up with the pace of innovation. The ASML Academy unites all learning and knowledge management within ASML, enabling employees to easily acquire the knowledge, skills and expertise they need to perform well in their roles. We enable on-the- job learning and knowledge management, guided by the 70:20:10 approach for learning: 70% on-the-job learning, 20% coaching and 10% training courses. We monitor the effectiveness of our learning and knowledge management approach by tracking employee feedback, which is captured in our Global Learning Dashboard, together with additional performance indicators (such as the number of training hours), to monitor the overall adoption, quality and impact of our learning programs and support continuous improvement. We encourage our employees to take responsibility for their own personal development and pursue their career ambitions, offering tailor-made development opportunities and internal job mobility. We strive to provide employees with continuous support in their development and performance through regular performance reviews and by sharing career development opportunities. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 259 General disclosures Environmental Social Governance Attractive workplace for all: How we’re managing (continued)


 
Levers for action The annual cycle for performance management at ASML (Develop & Perform) is characterized by these key moments in the year: Goal setting – Creating clarity and alignment for the year ahead based on team goals, individual goals and development items aligned to ASML values. These are captured in a development plan which also includes longer-term career development ambitions. Development conversations – Recommended twice a year, with the opportunity to provide and discuss feedback, progress, behavior and recognition. These look forward at development and career ambitions, identifying actions and next steps to foster continuous growth. End-Year Summary – Recognition and reward of individual contribution and growth and sharing the performance rating. To come to a balanced performance rating, managers consider the extent to which the employee meets expectations regarding job responsibilities – achievements in their job, goals – achievements on team and individual goals; and behavior – in line with ASML values (employees) and Leadership@ASML (people managers). We monitor the effectiveness of our Develop & Perform approach by tracking a set of performance indicators including the percentage of employees with a performance rating and the percentage of employees that have defined at least one development item. Diversity and inclusion We are dedicated to building a safe and inclusive environment for our workers where everyone feels valued and respected, and can fully contribute. Unique and diverse teams are key to our success, driving innovation and accelerating creativity within our business. We are committed to treating everyone fairly and equally, to being an equal opportunity employer, and to cultivating a diverse and inclusive workforce. Aligning with our Code of Conduct, we do not tolerate any form of discrimination, harassment, bullying or retaliation. We aim to hire, promote and compensate our workforce without regard to age, race, color, religion, sex, gender, gender identity or expression, sexual orientation, national origin and/or other characteristics. We make reasonable accommodations to enable everyone with special needs, including neurodiversity and workers with disabilities, to effectively perform their jobs. We monitor the effectiveness of our D&I approach by tracking a set of performance indicators that cover our ability to attract women from various backgrounds and experiences, and our ability to strengthen representation of women at leadership levels. Read more in our group Diversity and Inclusion Policy on asml.com Occupational health and safety We strive to provide injury-free and healthy working conditions for everyone on our premises by eliminating hazards, reducing safety risks and preventing occupational ill health. That includes employees, non- employee workers, suppliers, customers and visitors. While it is impossible to completely eradicate risk, we work proactively at all levels to identify potential issues or concerns in the workplace and develop measures toward reducing them. This includes providing people with the right protection, procedures and processes to keep them safe. To achieve our ongoing ambition of zero recordable work-related injuries and illness, we focus on our EHS management system, safety culture and training. We follow legal and government guidelines and requirements, and aim to comply with industry best practices. We track our targets and actions through measuring our recordable incident rate. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 260 General disclosures Environmental Social Governance Attractive workplace for all: How we’re managing (continued)


 
Levers for action Our Environment, Health and Safety (EHS) management system Labor conditions We aim to provide fair labor conditions and social protection for all our workers, regardless of their location and whether they are on fixed or temporary contracts. This includes, in accordance with local laws, respecting the rights of all workers to form and join trade unions of their own choosing, to bargain collectively and to engage in peaceful assembly – as well as the right for workers to refrain from such activities. We are committed to paying fair and balanced salaries and benefits. Employee wages must, at a minimum, comply with all applicable wage laws, including those relating to living wages, equal wages for all genders, overtime hours and legally mandated benefits. We believe we have robust, longstanding compensation policies in place which aim to ensure people performing and working in similar jobs are paid similarly. This is reflected in how our pay structures are designed, taking account of pay progression to align with our employees’ growth within roles as well as progression to new roles. We are transparent with our employees around our compensation policies and practices and have continued to strengthen our Compensation & Benefits team with the aim to ensure our policies and processes are fairly and universally applied. We periodically review how our remuneration compares with the market benchmark for technology professionals in the regions we operate in and, where necessary, make changes to remuneration policies and levels. Meeting adequate living-wage requirements means ensuring employees earn salaries that meet their and their families’ basic needs to maintain an adequate standard of living in the circumstances of each country where we operate. We compare our lowest base salary with the local minimum wage and local living wage in the countries and regions where we operate. Work weeks are not to exceed the maximum set by local laws. In the event that local laws do not stipulate a maximum, we apply the International Labor Standards of the ILO and the RBA norms, including those applicable to overtime hours. Unless local laws stipulate otherwise, workweeks should not be more than 60 hours per week including overtime, except in an emergency or unusual situation. The standard weekly working hours in the locations where we operate is on average 40 hours. We strive to respect the right to rest and leisure, including reasonable working hours. We monitor the effectiveness of our policies and actions regarding labor conditions by tracking employee engagement, compliance with local laws and a set of performance indicators. Some performance indicators include: the number and percentage of employees covered by collective bargaining agreements and worker representation, the percentage of employees paid an adequate wage, incidents reported via our Speak Up Service and occupational health and safety incidents reported via myEHS. Well-being We support our employees in achieving a balance between family and work at different stages of their life. We look at well-being holistically and strive to integrate it into everyone’s day-to-day work. We have identified four well-being dimensions around which our programs, tools and resources are provided: mental; physical; social; and financial. Our well- being framework brings together all of our well-being activities to drive initiatives region by region and to meet local needs. Well-being offerings include general support, training and masterclasses, well- being events, and physical and mental health checks for employees and in some cases non-employee workers. We have an employee assistance program in all countries, offering support for employees who need assistance with personal and/or work-related problems that may impact their job or mental or emotional well-being. We set a target to measure the effectiveness of our approach through the employee engagement survey well-being score. Our well-established EHS management system enables our managers and employees to effectively integrate EHS objectives, plans, processes, standards and behaviors into their daily work – protecting our people, products and assets, and the environment. The system is based on and compliant with the ISO 45001 occupational health and safety standard and is assessed annually as part of our internal corporate EHS audit program – although it is not certified or audited by an external party. We have implemented the system worldwide at all our sites and customer services locations, covering everyone whose workplace is controlled by ASML, including all our employees and other workers not employed by us. Safety training and engagement It is standard practice to inform our employees and anyone else accessing our premises and customer sites independently – including contractors and suppliers – about our safety rules. Training ensures our people are prepared and informed about these safety requirements. Mandatory safety training is defined for different job roles depending on the risk profile of the work activities. To improve EHS performance, we encourage people to speak up whenever they encounter safety risks – and every worker is empowered to stop working if they feel unsafe. Together with their manager and EHS Expert, they can identify a safe way of working so the work can resume. Incident reporting An incident report must be completed by any ASML employee who is involved in or observes an unsafe situation or incident. We record and investigate all incidents and high-risk unsafe situations to determine the root cause, and take actions to prevent them from recurring. Hazard and risk evaluations Regular hazard and risk evaluations carried out by EHS Experts are complemented by ‘Safety Gemba Walks’, where managers visit employee workplaces, helping to increase safety performance and strengthen our safety culture. We take appropriate action to mitigate these risks and ensure continuous improvement. Safety maturity assessment A safety assessment survey is performed on our locations worldwide – for technical roles – once every three years by an external party. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 261 General disclosures Environmental Social Governance Attractive workplace for all: How we’re managing (continued)


 
Process for engaging We encourage our employees and their representatives to openly communicate and share ideas and concerns with management about working conditions and management practices, without fear of discrimination, retaliation, intimidation or harassment. Read more about the channels of employee engagement available in Strategic report – Our business – Engaged stakeholders We use insights from engagement with our employees to inform our people strategy at all stages, including impact assessment, policy development, target-setting and actions. Our CEO has operational responsibility for ensuring this engagement occurs and that insights gathered from the engagement inform our approach. We utilize our annual employee survey to assess the effectiveness of our overall engagement with employees. Read more about employee engagement and acting on employee feedback in Sustainability statements – Social – Attractive workplace for all – Talent attraction, employee engagement and retention In addition to the direct channels available, we also engage in regular dialogue with workers' representatives, including duly elected representatives and trade union representatives. Duly elected workers' representatives Works Councils have been established in the Netherlands and in Berlin, Germany. In Taiwan and South Korea, employee representatives have been duly elected in accordance with Labor Management Council requirements, and in China we have retained pre-existing Works Councils at our HMI facility. These councils consist of elected employee representatives from across the organization. The number of council members and the specific election procedures are determined by the location and size of the organization. Works Councils balance the interests of employees with those of the business and are often required to consent or advise on specific decisions, such as reorganizations, mergers or changes in employment conditions (although this may vary in different locations). To better understand the needs and concerns of the organization, the Supervisory Board (SB) regularly meets with our largest Works Council in the Netherlands, which provides a clear communications channel for the feelings of our people. In countries where we do not have formal employee representation, we promote open dialogue through our various employee channels and networks. Veldhoven, Netherlands The Works Council meets regularly with the BoM and senior management, and meets annually with the delegation of the SB. Every month there is a consultative meeting between the Works Council and the 'Bestuurder' (the ASML executive responsible for consulting with the Works Council). Germany (Berlin), Taiwan and South Korea Quarterly meetings are held between employee representatives and local management representatives. Collective labor agreements The Netherlands (with Metalektro) The Metalektro collective labor agreements (CLAs) are effective for the industry in which we operate and applicable to all employees in the Netherlands within the scope of the CLA. Belgium, France, Germany, Italy and South Korea In Belgium, we have a collective bargaining agreement with Paritair Committee 200. In France, we participate in the Metallurgie industry agreement, except for our Cymer Light Sources employees, who fall under the scope of the CLA with Commerces de Gros. In Germany, we have a company CLA negotiated with IG Metall for our Berlin location (ASML Berlin GmbH). In Italy, our employees are covered by the national collective bargaining agreement (CCNL) for commerce. In South Korea, we have a CLA negotiated with the Chemical, Textile and Food Industrial Union. We have no indication that we operate in countries where the freedom of association and collective bargaining of ASML employees is restricted. We strive to comply with the relevant legislation in every country where we operate. The working conditions and terms of employment of employees not directly covered by collective bargaining agreements, are influenced or determined based on other collective bargaining agreements, labor market developments, and usage and habits in the specific country. Process for remediation We encourage our employees to use direct reporting lines to remediate issues one-on- one as much as possible. In cases where remediation cannot be achieved in this way, depending on the nature of the issue, employees may report matters via the following reporting lines without fear of retaliation: • Human resources: Conflict resolution via internal process or mediation under the guidance of an independent and neutral third party (the mediator) • Ethics liaison or Ethics Office directly, or 24/7 via our Speak Up Service: Incidents reported via our Speak Up Service will follow the process and protocols of the Ethics Office Read more about our process for remediating matters raised through our Speak Up Service in Sustainability statements – Governance – ESG integrated governance – Business ethics and Code of Conduct • myEHS incident management: Incidents follow the process and protocols of the system Read more in Sustainability statements – Social – Attractive workplace for all – Occupational health and safety In the event these reporting lines do not remedy the issue, employees may raise topics with senior leadership or duly elected workers' representatives. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 262 General disclosures Environmental Social Governance Attractive workplace for all: How we’re managing (continued)


 
Our scope As a basis, the scope of this sub-topic is related to ASML worldwide. Read more on the scope of the targets in Sustainability statements – Social – Attractive workplace for all – Additional disclosures – Methodology on targets Why it matters: Impacts, risks and opportunities For talent attraction, employee engagement and retention, we have identified the following: Risks and opportunities: Failure to provide fair labor conditions could result in unavailability of personnel, disengaged employees, retention and recruitment challenges Failure to foster an equal opportunity environment could result in unavailability of personnel, disengaged employees, and retention and recruitment challenges Read more in Strategic report – Performance and risk – Risk Targets and performance We have three targets relating to talent attraction, employee engagement and retention: Improve talent attraction by achieving specific employer brand score rankings in the Netherlands (top 5), United States (top 75), China (top 100) and Taiwan (top 5), by 2025 We measure our employer brand in our main locations: the Netherlands, US, China and Taiwan. In the Netherlands, progress on these rankings has been measured since first reported in 2013, at which time we ranked 23rd. In 2024, we ranked number one in the Netherlands for tech students (Engineering/IT/natural science) and third for professionals in tech. As part of our efforts to improve our employer brand, we have an important ambition to become known to these students for our jobs in the enabling functions – such as HR, finance and communications. In the US, we saw a significant increase in awareness among engineering students, resulting in a ranking of 140th. Targeted campaigns as well as extensive media coverage in both the states in which we operate, as well as the states we recruit from, have supported this ranking. The US is a large and fragmented market in which it is difficult to reach everyone. We will continue these awareness activities and the efforts of this year will accelerate – we are confident in getting closer to our goal of top 75 in 2025. We also made a great step up in China this year, moving to 109th – a strong achievement, given that China is a large, widespread country where competition for talent is fierce. In light of this achievement, we set a target of top 100 in China by 2025 and are getting closer to achieving this. In 2024, Universum discontinued its syndicated report for Taiwan – therefore, we decided that for this location we will run a custom Universum survey for both students and professionals in 2025, which will help us assess progress against our target. Based on the previous Taiwan survey run every two years – in which we ranked fifth, having increased branding efforts in Taiwan through the digital ambassador and STEM programs – we are on track to meet our top five ambition in Taiwan next year. By 2025, be within a 2% range of the benchmark employee engagement score achieved by the top 25% companies Our baseline figure, reported in 2019, is 77%. In 2024, 88.0% of our employees participated in our annual employee engagement survey, returning an engagement score of 78.4%. Our three-year rolling average of 78.9%, after taking into account the outcome for 2024 of 78.4% (2023 of 80.3% and for 2022 of 77.9%), we measure 2.1% below the top 25% external global benchmark of 81.0%, reaching our milestone set for 2024. It indicates that we are on track to achieving our 2025 target – being within a 2% range of the top 25% of companies. We continue to leverage insights gained from the survey and depend on employees working together to define actions that directly address areas requiring improvement. Our 2024 survey reaffirmed several strengths perceived by our employees that we will continue to deliver on. These strengths include our strong culture with deeply embedded values of challenge, collaborate and care, as well as the belief in teamwork, ownership and the importance of belonging. We were pleased to learn that we measured far above the external average in relation to our employees feeling proud to work for ASML, recommending ASML as a great place to work and voicing their intention to stay at ASML. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 263 General disclosures Environmental Social Governance Attractive workplace for all: Talent attraction, employee engagement and retention Performance indicator Unit 2024 Target Target date Status Attractiveness to talent (employer brand score) # ranking NL 1 US 140 China 109 Taiwan n/a NL top 5 US top 75 China top 100 Taiwan top 5 2025 Work to be done n Employee engagement score (three-year rolling average) % 78.9% Within a 2% range of the benchmark of top 25% performing companies 2025 On track ò % -2.1% Attrition rate1 % 3.8% < 7% 2025 On track ò


 
Valuable feedback was also received regarding areas needing our focus for improvement, including well- being, inclusion and job enablement, particularly in relation to defining career development opportunities and establishing effective processes. In addition, we aim to improve cross-collaboration, knowledge-sharing across teams and opportunities for our employees to participate in sustainability initiatives (which has already seen a 3% increase compared to 2023). We will continue to raise awareness of our sustainability initiatives and encouraging employees to contribute, as well as promote collaboration and knowledge-sharing. We also measure the onboarding experience through pulse surveys. On average, 87% of new colleagues starting in 2024 indicated they had a positive experience; while 9% had a neutral experience and 4% indicated there is room for improvement, particularly in training and more structured access to relevant information and tools. Have an attrition rate of <7% by 2025 Progress on this target has been measured since first reported at 3.8% in 2020. Our overall attrition rate1 in 2024 was 3.8%1 – well within our target range and below the industry average in every country in which we operate. Maintaining our attrition by 2025 will also depend on external factors in the job market. 1. Our definition and calculation of the attrition rate target differs from the ‘employee turnover rate’ metric in accordance with the ESRS. Read more about these differences in Sustainability statements – Social – Attractive workplace for all – Additional disclosures – Methodology on targets Our actions and resources Engaging with potential employees to raise awareness of career opportunities at ASML Extensive employer branding activities are used to increase the consideration of ASML as an attractive employer for technical profiles, while also seeking to increase the inflow of women. Every year we run one employer brand awareness campaign, as well as campaigns for critical competencies for our most difficult-to-hire areas, such as software. Our branding includes key information on specific attributes we are known for (or not) and which appeal to this audience – such as well-being, innovation, and learning and development – helping us provide the right message and information to the right people. Branding activities and survey insights are used to inform each stage of the recruitment funnel (awareness, consideration, desire and application). We organize global and regional promotional events for both students and professionals, many of which are a part of our ongoing programs – including career events, PhD excursions, internship and graduate projects, and summer schools. STEM students are a key target group, as well as women (linked to our target to increase the inflow of women at all job grades). In 2024, our key actions to engage with potential employees included: • Identifying critical competencies that are both essential for ASML success and scarce on the labor market. To engage with experienced professionals, we joined and hosted targeted events – for example, engaging with software developers. • Maintaining our relationships with universities and colleges in Europe, US and Asia to support the education of future engineers, scientists and technicians and hosting students at our locations to showcase technology and company culture and offer the opportunity to meet colleagues. In 2024, students from Purdue University Semiconductor courses visited our Veldhoven office, and students from National Cheng Kung University (NCKU) and National Taiwan University (NTU) visited our Tainan factory in Taiwan. • Hosting 1,120 interns (2023: 1,132) in our locations in Europe, US and Asia and offering 40 technology scholarships (annually). • Hosting four masterclasses at our headquarters – two for PhD graduates and two for Masters graduates – to engage with top talent for our R&D organization. One of these masterclasses was dedicated to female candidates. • Summer and winter schools for students from Korea and Taiwan, together with Eindhoven University of Technology. • Internal and external events and campaigns with a focus on women with technical profiles, for leaders and technical experts – for example, at European Women in Tech in 2024, where we hosted a panel to inspire women. • Digital campaigns via our social media channels focusing on technical professionals. In 2024, our ‘Feel That You Belong’ campaign, sharing the stories of real people, included both women and men working in technical roles within ASML. We applied this approach in each country, targeting female-focused channels and events. For example, in the US, we use Fairygodboss and chair inclusion panels at The Female Quotient. Read more in Sustainability statements – Social – Innovation ecosystem – STEM education to feed STEM pipeline for ASML Read more about our activities to increase proportion of women working at ASML in Sustainability statements – Social – Attractive workplace for all – Diversity and inclusion Maintaining attractive remuneration We review and adjust our pay scales every year – aligning with the latest market trends as well as ASML’s remuneration philosophy and financial affordability. This ensures we offer competitive remuneration packages to attract and retain our talent. We use third-party market benchmarks from selected peer companies defined for technology professionals in the regions where we operate, and make changes to our remuneration policies and levels as necessary. We assess the effectiveness of this action via our employee engagement survey, tracking attrition and our employer brand rankings. The results of the employee engagement survey and the peer group exercise are taken into account when taking strategic decisions on elements such as our employee offering. Attracting and retaining top talent with a strong employer value proposition To attract and retain skilled talent to support our business growth, we have developed a people strategy that outlines the beliefs and values we want current and potential employees to feel, see and experience with us as an employer – known as Our People Promise. This is designed to drive engagement and retention in both the short and long term by: • Continually supporting and enabling a best-in-class (potential) employee experience through focused programs around learning and development, our commitment to well-being, D&I and strong leadership. In doing this, we aim to truly drive an employer brand experience from the inside out. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 264 General disclosures Environmental Social Governance Attractive workplace for all: Talent attraction, employee engagement and retention (continued)


 
• Hosting global campaigns and events to showcase our offerings, with segmented outreach in each of our key locations over 2022–2025. • Asked employees to share their stories on why they join and stay with ASML and supported them as ambassadors in sharing their stories with their networks. This credible way of messaging helps us connect to talent within earned media and drive awareness and referrals, resulting in a high-quality source of hires. • Continued to recognize that employees are our best advocates and one of the most credible sources of information about who we are and what we do as a company. In 2024, we continued our efforts to expand and optimize the Digital Ambassador program. Over 2,000 employees globally are now sharing curated content with their local social media networks, generating millions of impressions and meaningful interactions throughout each month. • Held our Internal Career Festival onsite and virtually in China, Germany, South Korea, the Netherlands, the US and Taiwan. This global hybrid event aims to retain talent by driving internal mobility and development. • Conducting talent surveys in each key location to measure the effectiveness of our efforts. • Continuing to monitor and listen to (potential) employees in an effort to continuously improve their experience both before and after they join us. Acting on employee feedback Employee engagement is an ongoing program with no specific time horizon. It is one of continuous improvement – with annual initiatives and actions addressing specific areas identified in the most recent employee engagement survey results. In 2023, we identified that trust in the follow-up to the survey was low. The main areas for improvement identified were well-being, inclusion and job enablement, which informed the actions taken by the end of 2024. These included: • Introduction of Employee Engagement Manager role embedded within teams, tasked with supporting human resources in survey follow-up. We provided more tools and templates to help foster conversations and offer more support within teams to ensure survey results translate into meaningful and identifiable actions. • Using a more structured approach to execute our actions, and updating reporting lines to increase trust and ownership. Because our employees are involved in defining actions and follow-up sessions, they have greater trust and visibility of the actions taken and our progress against them. • Implementation of analysis to identify key drivers of engagement. In 2023, we identified well-being and D&I as key drivers, with insights discussed within the relevant global project teams and used as input for their programs. Read more on the specific actions taken within in the current year in Sustainability statements – Social – Attractive workplace for all – Diversity and inclusion and Sustainability statements – Social – Attractive workplace for all – Well-being • Job enablement through the improvement of facilities, offices, parking, and learning and development. We are investigating long-term office capacity solutions and adding more resting facilities – including game rooms, natural light, an office gym and yoga rooms. In line with our business travel target, we are also providing and encouraging alternative commuting options and incentives such as carpooling, public transport, cycling and shuttle buses between sites. Read more on how we enable our employees in their roles by providing learning resources and development tools in Sustainability statements – Social – Attractive workplace for all – Learning and development Resources Significant resources devoted to: • Engaging with potential employees – primarily comprising 16 dedicated FTEs for six months of the year • Maintaining attractive remuneration – primarily comprising five dedicated FTEs for three months of the year • Attracting and retaining top talent – primarily comprising 16 dedicated FTEs for six months of the year • Acting on employee feedback – primarily comprising two dedicated FTEs The total estimated cost of €2.7 million relating to FTEs is included within the Consolidated financial statements under Selling, general and administrative costs. Looking ahead In 2025, we aim to expand our employer brand measure to include South Korea. We plan to run customer surveys among five key universities, and experienced professionals. To continue to raise awareness in the US, in 2025 we will focus on increasing the preference of ASML as an employer. Activities will include integrated employer branding campaigns across different channels that showcase ASML’s unique place in the semiconductor industry and its pivotal role in the technology ecosystem. In 2025, we will expand the use of our client relationship management (CRM) system, enabled in 2024 to track and communicate with prospective talent interested in learning more about our company before, during and after contact with them at events and other initiatives. We will focus on developing more strategic partnerships with the top-tier universities to increase the mutual benefit of these collaborations. Activities will include the signing of memorandums of understanding (MoUs) to make ambitions more explicit and defining key topics to focus on at each university. We will also expand student-focused events, such as internships, so students can gain a better understanding of ASML and the semiconductor industry. We also plan to expand our summer and winter schools to incorporate more countries and more universities including Leuven University. And to tap into new talent pools across the markets we operate in, we are expanding our search for qualified talent to vocational schools. This will allow us to connect with people for key roles in manufacturing, enabling functions and other growing areas of our business. Following the results of our engagement survey, our three key themes (inclusion, well-being and job enablement) remain the same as last year and we address these through our dedicated programs for these areas. Read more on our inclusion, well-being and job enablement focus areas for 2025 in Sustainability statements – Social – Attractive workplace for all – Diversity and inclusion, Sustainability statements – Social – Attractive workplace for all – Well-being and Sustainability statements – Social – Attractive workplace for all – Learning and development STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 265 General disclosures Environmental Social Governance Attractive workplace for all: Talent attraction, employee engagement and retention (continued)


 
Our scope The scope of this sub-topic relates to ASML worldwide. Why it matters: Impacts, risks and opportunities For learning and development, we have identified the following: Impacts: Impact on employees by facilitating professional growth, knowledge and skills development, contributing to continued employability Targets and performance We have defined one target for development: By 2025, 80% of all employees should have at least one development item (in progress) in their development plan The target was based on our 2023 baseline figure of 80%. In 2024, 81% of all employees had at least one development item in their development plan, as measured at the end of the goal-setting phase of the annual cycle (March 2024). This is higher than our target and reflects the efforts made to focus on ‘driving your own career’ by identifying and documenting development items during the annual goal-setting phase. In addition, the introduction of role-based learning journeys makes it easier for employees to identify the competencies needed to grow into their desired roles and include these as development items. In respect to learning, we have not set a measurable target in the current year. We have a robust learning program that enables our learning ambitions. Read more on our learning program in Sustainability statements – Social – Attractive workplace for all – How we're managing Our actions and resources Simplifying the learning journey Our learning program is one of continuous improvement. With our growth, we accordingly need to build on our employees’ competence. With this in mind, our focus is on reducing the time-to-knowledge – that is, how long it takes an employee to acquire the relevant knowledge – and time-to- competence, which relates to the time taken to reach true competence in an acquired skill. To achieve this, in 2024 we introduced role- based learning journeys. A learning journey comprises a curated collection of educational content, both formal and informal, that is available to employees to be used to acquire skills for a specific role or assist in the setting of a development plan as part of our Develop & Perform program. We have identified 24 key roles, for which we have built learning journeys with the purpose of helping our employees to map their development and to shift more easily into other roles and to onboard new employees into their roles at an effective pace. In the current year, a total of 1,771,544 hours of learning were recorded, with an average of 41 learning hours completed per employee. Role-based learning journeys help employees identify which learnings are most relevant and represent the best use of their time. Depending on the feedback of our employees, we will improve on the 24 journeys which will further serve as the foundation for the building of more role- based journeys in the future. Empowering employees on their development journey Our Develop & Perform program was initiated in 2022 and we continue to gather input and feedback for continuous improvements. In 2024, we focused on encouraging employees to take responsibility for their own development and took steps to more actively monitor and support them in doing so. ASML Academy facilitated the soft skills needed for an effective Develop & Perform program through skills-building workshops and training courses for employees and managers throughout the year related to topics such as coaching, development conversations, and giving and receiving feedback. We introduced development and performance reviews outside the HR&O system for ASML Berlin GmbH senior management level and above, with the expectation to widen this scope to include levels within middle management in the following year. In 2024, we ran a pilot of the Integrated Talent Management (ITM) program for a select group of job profiles (approximately 1,500 employees). The ITM program aims to support our growth by engaging, developing and retaining employees – by offering the best possible career development. It enhances the foundation for our career development journey by enriching our job architecture with pre-filled job profiles and skills, connecting it to skills-based learning and offering employees a range of development opportunities – such as mentorships and career paths based on their personal profile and interests. The pilot is meant to test the new concepts and solutions, collect user feedback and establish how best to embed it in existing practices. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 266 General disclosures Environmental Social Governance Attractive workplace for all: Learning and development Performance indicator Unit 2024 Target Target date Status Employees with at least one development item in their development plan % 81% 80% 2025 On track ò


 
Resources Significant resources were devoted to our: • Develop & Perform program – primarily comprising three dedicated FTEs • Learning program – primarily comprising 87 dedicated FTEs The total estimated cost of €12.7 million relating to FTEs is included within the Consolidated financial statements under Selling, general and administrative costs. Setting up new starters for success Properly onboarding new employees is critical for our long-term success. In 2024, we implemented an ASML-wide onboarding approach to ensure a uniform quality in the onboarding experience and to reduce time-to-competence, as well as deploying an ASML-wide knowledge transition solution to ensure critical knowledge does not leave the company when employees move on. We also launched a new intranet – a personalized digital hub with access to information and services, where all employees can connect, communicate and find knowledge. Looking ahead Our key efforts for learning in 2025 include: • Developing a skills management framework to connect common skills and capabilities across different functions, equipping us for future growth and helping employees understand how their skills can translate into roles throughout ASML. • We will expand learning journeys to further roles identified in 2025 and improve the quality of the journeys introduced in 2024 based on feedback. Our key efforts for development in 2025 include: • Empowering employees to take charge of their own growth through an expanded skills-building initiative, ‘Drive Your Own Career’. This will promote the use of learning journeys to inform employee development plans within the Develop & Perform cycle. • Implementing the ITM program globally. Based on the results of the pilot in 2024, a roll-out strategy for the whole of ASML will be developed. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 267 General disclosures Environmental Social Governance Attractive workplace for all: Learning and development (continued)


 
Our scope As a basis, the scope of this sub-topic is related to ASML worldwide. Why it matters: Impacts, risks and opportunities For D&I we have identified the following: Impacts: Impact on employees by providing equal treatment and opportunities for all Risks & opportunities: Failure to foster an equal opportunity environment could result in unavailability of personnel, disengaged employees, and retention and recruitment challenges Read more in Strategic report – Performance and risk – Risk ASML presents in this Annual Report its diversity and inclusion policies and targets for, and progress on achieving, gender diversity in accordance with Dutch law and its Diversity and Inclusion policy adopted by the BoM pursuant to requirements of Dutch law. ASML has become aware of US executive order 14173 (the “EO”) signed in January 2025, under which the US Office of Federal Contract Compliance Programs must, among other things, immediately cease promoting diversity and allowing or encouraging US federal contractors and subcontractors to engage in workforce balancing based on race, color, sex, sexual preference, religion, or national origin. As a company with a dual listing on Euronext Amsterdam and Nasdaq, ASML is currently reviewing the implications of the EO. These targets and policy will not apply to ASML’s US employees to the extent this would conflict with the EO or other applicable law, regulation or orders. Targets and performance We have five targets relating to Diversity and Inclusion (D&I): Achieve 24% inflow of women (all job grades) by 2025 Our baseline figure, reported in 2022, is 24%. In 2024 there was a 26% inflow of women, which reflects we are on track to achieve our 2025 target. Achieve 24% inflow (external hires only) of women to middle management and above (job grades 9+) by 2025 Our baseline figure, reported in 2023, is 25%. In 2024 there was a 30% inflow of women to middle management roles and above, which reflects we are on track to achieve our 2025 target. Achieve 20% inflow (external hires and internal promotions) of women to senior leadership roles (job grades 13+) by 2024 Our baseline figure, reported in 2021, is 12%. In 2024 there was a 18% inflow of women to senior leadership roles, which reflects that we did not achieve our target. This target was set to supplement our representation target of 12% women in senior leadership roles. Despite not reaching 20% inflow by 2024, this inflow target objective was successful in helping us reach our 12% representation of women in senior leadership roles this year – which plays a pivotal role in our commitment to D&I. We are highly motivated to see more women pursuing careers in engineering and science to further diversify the workforce at the heart of ASML. This requires a variety of approaches, and the highly specialized nature of our work means it will be a long- term process. We acknowledge that the global science, technology, engineering and math (STEM) talent pool is sparsely populated with women. At the same time, most of our job positions are STEM-related. Therefore, we continue to take a multifaceted approach to our women inflow, which is crucial if we are to achieve our inflow targets. Achieve 12% representation of women in senior leadership roles (job grades 13+) by 2024 Our baseline figure, reported in 2021, is 8%. In 2024 we achieved our target of 12% representation of women in senior leadership roles. Having achieved our target set for 2024, we want to continue with our ambition to increase representation of women in senior leadership roles after 2024. Therefore, we have set a target of 14% representation of women in senior leadership roles (job grade 13+) by 2026. Achieving our ambition will require a significant inflow of women throughout our entire leadership pipeline, starting with middle management and navigating wider challenges relating to women representation within talent pools themselves. Read more about gender diversity in the Supervisory Board in Corporate governance – Corporate governance – Other Board-related matters By 2024, be within a 3% range of the benchmark inclusion score achieved by the top 25% companies. This D&I target is measured through annual employee engagement survey results. Our baseline figure, reported in 2021, is 83%. In 2024 our three-year rolling average inclusion score was 82.4% being aligned with the benchmark of the top 25% of top- performing global companies (82.4%). As awareness of D&I grows among employees and expectations of our leaders increase, we anticipate fluctuations in our inclusion score over time. A deep dive analysis into our inclusion score revealed our employees trust and feel safe to openly share their views and opinions. We continue to highlight the importance and benefits of STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 268 General disclosures Environmental Social Governance Attractive workplace for all: Diversity and inclusion Performance indicator Unit 2024 Target Target date Status Gender diversity – % inflow of women % 26% 24% 2025 On track ò Gender diversity – % inflow of women to job grade 9+ % 30% 24% 2025 On track ò Gender diversity – % inflow of women to job grade 13+ % 18% 20% 2024 Off track p Gender diversity – % representation of women in job grade 13+ % 12% 12% 2024 On track ò Inclusion score (three-year rolling average) % 82.4% Within a 3% range of the top 25% of performing companies 2024 On track ò % 0.0%


 
diversity and inclusion as we build an environment where everyone can succeed to their full potential, no matter who they are. Our actions and resources Building our diversity and inclusion program on employee feedback D&I strategy at ASML is led by the Global Diversity and Inclusion Council (GDIC). At the time of working on our D&I strategy in 2023, some concerns were raised about D&I at ASML – sparking the need for an honest company response. This included listening sessions to gather feedback from women to understand the challenges of working here – initially over 300 in Veldhoven, plus further sessions across the company – and the formation of a program team to create solutions to address the issues, with accountability to the GDIC. The GDIC used further insights from existing employee engagement channels including the employee engagement survey (inclusion score), to inform a holistic D&I program covering all areas of diversity, including age, race, color, religion, gender, sexual orientation, neurodiversity and workers with disabilities. The program contains 14 D&I- related projects, each of which is sponsored by a senior leader. In 2024, focus was placed on the following key projects: Building a foundation of D&I awareness We wanted to establish an understanding and lay the groundwork to position D&I as a global priority, elevating awareness and setting a solid foundation for inclusion. Activities in 2024 included: • Training and development: Facilitating tailored training on inclusion such as 'Choose Inclusion', 'Ignite Inclusion' and 'Inclusive Leadership' programs. 30% of our leaders, 50% of our HR&O and Ethics teams and 30% of all employees received inclusion training by the end of 2024. • Executive sponsorship: Reverse mentoring and resources for senior leadership, including tools for managers to jumpstart conversations on inclusion. • D&I dashboards: Launched to all people managers to help them understand their organization's demographics and analyzing the impact of people processes to inform longer-term strategies. Supporting women to reach leadership roles through development opportunities To further strengthen our efforts to support the development of women, we introduced new programs in 2024 focused on skills development and visibility for female talent. These included: • Women’s leadership program: Provided for 64 women, 93% of whom reported a positive change in attitude and mindset, as well as increased confidence to apply learnings from the program. • Sponsorship program for women to increase representation in the senior leadership pipeline: Provided exposure and opportunity to 12 participants from three different regions. • Reverse-mentoring program to enhance senior leaders’ diversity and intercultural quotient through engagement with employees: Introduced first cohort for women and senior leaders. Workplace harassment In a predominantly male industry, coupled with our culturally diverse workforce representing 148 nationalities, there exists a potential risk of workplace harassment. We continuously work to address this risk. Read more on our actions to reduce workplace harassment in Sustainability statements – Governance – ESG integrated governance – Business ethics and Code of Conduct and Strategic report – Corporate conduct – Respecting human rights Resources Significant resources devoted to our D&I program primarily comprise 10 FTEs. The total estimated cost of €1.4 million relating to FTEs is included within the Consolidated financial statements under Selling, general and administrative costs. Looking ahead In 2025 our goal is to continue the actions started in 2024, including: • Strengthening inclusive behaviors and leadership via the continuation of the Ignite Inclusion and Inclusive Leadership programs, and programs for women leaders to build an environment where everyone feels valued, respected and can fully contribute. • Piloting a voluntary self-identification project to encourage ASML employees to voluntarily self-identify against a range of diversity demographics. • Launching an allyship program. This aims to facilitate advice, skills and tools for ASML colleagues to align as allies. • Focus efforts in preparing for the EU Pay Transparency directive going live in 2026. • Developing D&I insights solutions to support forecasting, scenario analysis and identification of improvement areas. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 269 General disclosures Environmental Social Governance Attractive workplace for all: Diversity and inclusion (continued)


 
Our scope The scope of this sub-topic and target is worldwide – at all ASML sites and customer services locations. It covers the occupational health and safety of everyone whose workplace is controlled by ASML, including all our employees and other workers not employed by us. Why it matters: Impacts, risks and opportunities For occupational health and safety, we have identified the following: Impacts: Failure to manage occupational health and safety – for example, when employees are working with hazardous substances and systems Failure to effectively manage employees’ health and well-being could impact their work-life balance Risks and opportunities: Failure to comply with health and safety-related regulations or implement effective health and safety practices could result in liabilities and reputational risk Read more in Strategic report – Performance and risk – Risk Targets and performance 1. Our definition and calculation of our recordable incident rate in line with OSHA differs from the 'rate of employee recordable work-related accidents' metric in accordance with ESRS. We have one target relating to Occupational health and safety: Achieve a recordable incident rate of 0.16 or below, by 2025 Our baseline figure, reported in 2022, is 0.18. Our recordable incident rate is in line with the US Occupational Safety and Health Act (OSHA) per 100 FTEs a year. In 2024, our recordable incident rate was 0.191. This is higher than our desired benchmark of 0.16, which represents world-class performance. To achieve our desired benchmark, we maintain our focus and actions to improve safety in technology and systems. Building our culture of safety is a shared responsibility and we depend on our employees to prioritize safety protocols in their day-to-day. In 2025, a safety maturity assessment will support this. In 2024, we did not encounter any work- related fatalities onsite. Regrettably, we suffered the loss of a long-standing colleague, who collapsed on ASML premises in Veldhoven and was taken by ambulance to hospital where he later passed away. This incident was not work-related. Our benchmark compared to OSHA industry data shows we are below the average recordable incident rate for the semi- conductor industry of 1.4. Read more about these differences in Sustainability statements – Social – Attractive workplace for all – Additional disclosures – Methodology on targets Our actions and resources Updating our safety training in line with our latest improvements In 2024 we developed an improved version of the EHS fundamentals e-learning module based on the latest EHS policies and structures. This must be completed by all new employees joining ASML. Our EHS Cleanroom Fundamentals training module is mandatory, explaining how to enter and stay safe within our cleanroom environments. Our EHS Fundamentals training for line managers focuses on how to be a leader on safety and comprises three elements: risk management; enabling teams to work safely; and following up after incidents. Implementing safety improvement roadmaps In 2024, we continued the deployment of our EHS improvement roadmaps with a focus on working-at-height improvements. This has resulted in collaboration across the business to align to a company-wide standard. This standard is in review phase. Responding to risk areas A deep-dive analysis of the increase in incidents in 2023 was carried out. It showed that the main increase in incidents was in hand injuries in the Customer Support area. In response, we developed a specific awareness program within Customer Support in 2024, focusing on situational awareness and caring for others. This training was rolled out and completed by managers and employees, showing positive results. By continuously assessing and adjusting our improvement roadmap, we expect to improve healthy and safe work conditions and lower the recordable incident rate – achieving our ambition to reach the next level of safety maturity by 2025. Resources Significant resources devoted to our EHS primarily comprise 269 FTEs. The total estimated cost of €37.8 million relating to these FTEs is included within the Consolidated financial statements under Selling, general and administrative costs. Looking ahead In 2025, to reduce our recordable incident rate to achieve our desired benchmark of 0.16, we will continue with the implementation of our EHS improvement roadmaps – including a focus on safe driving, working to prohibit multi-person calls while driving to improve travel safety, making the new EHS fundamentals training module available to all employees, and deploying specific safety training and rules with a particular focus on the larger NL campus. We will also update our safety maturity assessment to define the current level based on the Bradley curve – an independent method for companies to understand and benchmark safety culture – and help define our roadmap for the coming years. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 270 General disclosures Environmental Social Governance Attractive workplace for all: Occupational health and safety Performance indicator Unit 2024 Target Target date Status Recordable incident rate1 0.19 0.16 2025 Work to be done n


 
Our scope The scope of this sub-topic is worldwide. Why it matters: Impacts, risks and opportunities For labor conditions we have identified the following: Impacts: Impact on employees through fair labor conditions Risks and opportunities: Failure to provide fair labor conditions could result in unavailability of personnel, disengaged employees, retention and recruitment challenges Failure to comply with labor law could lead to sanctions, financial loss or reputational damage Read more in Strategic report – Performance and risk – Risk Targets and performance In respect of fair labor conditions we have not set a measurable target in the current year. We have robust processes for engaging with our employees, Works Councils and unions in setting fair terms and conditions of employment for all our employees. Read more on labor conditions in Sustainability statements – Social – Attractive workplace for all – How we're managing Our risk management process helps to monitor our compliance with local labor laws. Read more on our risk management process in Strategic report – Performance and risk – Risk – How we manage risk We have also incorporated a human rights due diligence process in support of the principles laid down in the UNGPs. Read more in Strategic report – Corporate conduct – Respecting human rights Our actions and resources Renewing our collective bargaining agreement in NL In 2024, the Metalektro CLA was renewed, and came into effect as of June 1, 2024, valid until December 31, 2025. The CLA applies to all employees in the Netherlands in job grades 1 to 11. Read more on how we engage with unions in Sustainability statements – Social – Attractive workplace for all – How we're managing – Process for engaging Improving our adequate wage assessment To ensure we meet adequate wage requirements, we review living wage and minimum wage benchmarks every year in the countries where we operate and will take any necessary corrective action. In 2024, we updated our approach to use the higher of living wage and minimum wage levels in each location where we operate, based on independent third-party benchmarks sourced from a single non-profit organization. We continue to mature our remuneration policies and processes in line with applicable wage laws and strive to ensure our employees remuneration is fair and balanced. Resources Significant resources devoted within our Compensation & Benefits team to the development and maintenance of attractive labor conditions comprise 35 FTEs. The total estimated cost of €4.9 million relating to these FTEs is included within the Consolidated financial statements under Selling, general and administrative costs. Read more on how we engage employees in Sustainability statements – Social – Attractive workplace for all – How we're managing – Process for engaging Looking ahead In 2025, we will focus on preparing for pay transparency in view of current legislation in various states in the US, and preparing for upcoming legislation related to the EU Pay Transparency Directive and any other jurisdictions where such legislation might be enacted. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 271 General disclosures Environmental Social Governance Attractive workplace for all: Labor conditions


 
Our scope The scope of this sub-topic is worldwide. Why it matters: Impacts, risks and opportunities For well-being we have identified the following: Impacts: Failure to effectively manage employees' health and well-being could impact their work–life balance and mental health Targets and performance We have defined one target to help manage the impact on employee well-being: In 2024, maintain an overall well-being score of 81% and no scores on individual questions within the well-being score below 75% This well-being target is measured through the annual employee engagement survey results. Our baseline figure, reported in 2023, is 81%. In 2024, our well-being score of 81% was on target. We expected our 2024 target to be a stretch considering global macroeconomic circumstances and the state of the semiconductor industry; however, we managed to achieve it. On the individual well-being questions, we were on target with all but two questions which scored below 75%: 1) The amount of stress in my job is manageable 2) I generally feel energized at work The primary challenge we face is encouraging employees to prioritize well- being in their daily work and utilize the available support. In 2024 we introduced well-being branding focused on integrating regular recharging and re-energizing activities into daily routines to promote work–life balance and stress management. Through the deep-dive analysis performed as part of the employee engagement survey process, we have identified groups of employees whose well-being scores need improvement. We will actively encourage and support these groups to define a well- being journey that is best suited to their specific team needs and circumstances. These well-being journeys will be formally documented in action plans and monitored through follow-up sessions in close co- operation with employee engagement managers and human resources. Our actions and resources Prioritizing employees’ well-being and mental health Our 2023 employee engagement survey highlighted the need for enhanced mental well-being and stress management, prompting the following key actions: • Global Well-being Month (June): We targeted all employees with initiatives to raise awareness of and promote well- being, with an emphasis on mental well- being, including lectures, webinars, workshops and sporting activities. Over 200 sessions were held globally, attracting approximately 8,000 registrations. • Tailored intervention at team level: We identified low-scoring teams and created tailored interventions to address the specific issues they face in relation to their well-being. • World Mental Health Day: We hosted a full-day event with sessions on a wide range of mental health-related topics. The event entailed 16 hours of online lectures by various thought leaders to facilitate flexibility, allowing employees from all time zones to participate, and employees could select from the program, those lectures they found most relevant. 1,336 employees participated globally and recordings were made available to those who could not attend the live sessions. • Shorter meetings encouraged: We developed best practices to reduce 30-minute meetings to 25 minutes, and 60-minute meetings to 50. This allows employees to incorporate a buffer between meetings to rehydrate, to rest their eyes (particularly in relation to virtual meetings), re-energize and reduce mental fatigue. • Improving governance and monitoring on aspects of well-being: We elevated the status of well-being activities within human resources, transitioning from an HR&O program into the core HR&O function. We created a ‘well-being scorecard’ that brings together well-being-related data such as illness absenteeism and attrition and the usage and rating of well-being resources, to enable continuous monitoring and track effectiveness. • Well-being guidelines for managers: To enhance the role managers play in the well-being of their teams, we launched new masterclasses and guidelines, supported by a well-being booklet. These initiatives encourage role-model behavior and help managers effectively support their teams and engage in well-being conversations. • Well-being ambassadors: We developed a new structure for our well-being ambassadors, allowing for various levels and types of engagement. We now have over 388 ambassadors helping to promote well-being across our organization. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 272 General disclosures Environmental Social Governance Attractive workplace for all: Well-being Performance indicator Unit 2024 Target Target date Status Well-being score % 81% 81 % 2024 On track ò


 
• Digital resources: We launched a new digital well-being platform on our intranet and introduced new learning resources, making well-being tools and resources more accessible. We expect positive outcomes from these focus areas, including improved employee well-being, reflected in higher scores on well-being questions in the employee engagement survey, increased usage of well-being resources, greater participation in Well-Being Month, and reduced attrition and illness absenteeism. Resources Significant resources devoted to our well- being program primarily comprise four FTEs. The total estimated cost of €0.6 million relating to FTEs is included within the Consolidated financial statements under Selling, general and administrative costs. Additional well-being activities: • Employee sports clubs • Volunteering • Gift matching • Employee networks • Coaching • Mentoring Looking ahead The preliminary 2025 well-being priorities include: • In response to the outcomes of the 2024 employee engagement survey deep-dive analysis, we will focus efforts on incorporating stress management, resilience and mental health within our well-being offering and events planned for 2025. This will include a three-week period of daily mindfulness practices, a Well- Being Month and a spotlight on World Mental Health Day in October. We will provide further support to leaders through a leaflet on burnout to help recognize the signs of burnout and facilitate conversations about stress and mental health. We plan to develop initiatives to empower employees to feel energized at work. • Continuing Global Well-Being Month into 2025, mapping well-being touch points throughout the employee journey, promoting the use of well-being tools and further professionalizing our Well-Being Ambassador network. • Build strong alignment with the leadership development team to further integrate well-being as a topic in our leadership development programs. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 273 General disclosures Environmental Social Governance Attractive workplace for all: Well-being (continued)


 
Total number of employees – headcount by gender Male Headcount 34,454 Female Headcount 8,899 Other Headcount 38 Not reported Headcount 4 Total employees Headcount 43,395 Topic Description Unit 2024 Topic Description Unit 2024 Total number of employees – headcount by significant employment country The Netherlands Headcount 23,194 Taiwan Headcount 4,572 United States Headcount 8,310 Topic Description Female Male Other Not disclosed Total 2024 Total number of permanent and temporary employees by gender (headcount as of December 31, 2024) Permanent employees 8,212 32,216 32 4 40,464 Temporary employees 687 2,238 6 0 2,931 Total employees 8,899 34,454 38 4 43,395 Topic Description Unit 2024 Reconciliation of the total number of employees per ESRS to number of employees reported in the Consolidated financial statements (as of December 31, 2024) Total number of payroll and temporary employees reported in the Consolidated financial statements (Note 18) FTE 44,027 Less: Temporary employees reported in the Consolidated financial statements (Note 18) (non-employees as defined by ESRS) FTE 1,241 Total number of payroll employees reported in the Consolidated financial statements (Note 18) FTE 42,786 Total number of payroll employees reported in the Consolidated financial statements - converted to headcount unit of measure Headcount 43,395 Number of employees as defined by ESRS Headcount 43,395 Topic Description Unit 2024 Employee turnover (For the period January 1, 2024, to December 31, 2024) Employee turnover Headcount 1,478 Employee turnover rate Percentage 3.5% STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 274 General disclosures Environmental Social Governance Attractive workplace for all: Metrics table


 
Collective bargaining coverage and social dialogue Topic Description Unit 2024 Percentage of total employees covered by collective bargaining agreements Employees covered by collective bargaining agreements Percentage 61% Collective bargaining coverage Social dialogue Employees – EEA (for countries with >50 empl. representing >10% total empl.) Employees – non- EEA (for regions with >50 empl. representing >10% total empl.)1 Workplace representation (EEA only) (for countries with >50 empl. representing >10% total empl.) Coverage rate 0–19% 20–39% Asia 40–59% 60–79% 80–100% The Netherlands The Netherlands The percentage of its total employees within significant countries within the EEA or significant regions outside the EEA, covered by collective bargaining agreements and/or workers, representatives (as of December 31, 2024) 2024 1. ASML has no existing agreements with a European Works Council (EWC), a Societas Europaea (SE) Works Council or a Societas Cooperativa Europaea (SCE) Works Council. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 275 General disclosures Environmental Social Governance Attractive workplace for all: Metrics table (continued)


 
Diversity metrics Topic Description Unit 2024 Gender distribution at top management level Male Headcount 318 Female Headcount 44 Other Headcount 1 Not reported Headcount 0 Total employees at top management level Headcount 363 Topic Description Unit 2024 Gender distribution at top management level Male Percentage 88% Female Percentage 12% Other Percentage — % Not reported Percentage — % Topic Description Unit 2024 Age distribution of employees under 30 years old Headcount 8,130 30–50 years old Headcount 28,072 over 50 years old Headcount 7,193 Total employees Headcount 43,395 Adequate wages 100% of our employees are paid an adequate wage within all locations we operate in. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 276 General disclosures Environmental Social Governance Attractive workplace for all: Metrics table (continued)


 
Training and skills development metrics Topic Description Unit 2024 Percentage of employees that completed an annual performance and career development review against the total number of employees by gender Male Percentage 94% Female Percentage 93% Other Percentage 76% Not reported Percentage 100% Total Percentage 94% Topic Description Unit 2024 Percentage of employees that completed an annual performance and career development review against the total number of employees eligible for a review by gender Male Percentage 96% Female Percentage 96% Other Percentage 97% Not reported Percentage 100% Total Percentage 96% Topic Description Unit 2024 Average number of training hours per employee Average number of training hours per employee Hours 41 Topic Description Unit 2024 Average number of training hours per employee by gender Male Hours 42 Female Hours 35 Other Hours 9 Not reported Hours 60 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 277 General disclosures Environmental Social Governance Attractive workplace for all: Metrics table (continued)


 
Health and safety metrics Topic Description Unit 2024 Percentage of employees covered by our health and safety management system Employees covered by our health and safety management system Percentage 100% Topic Description Unit 2024 Number of work-related fatalities as a result of injuries Employee fatalities as a result of work-related injuries Count 0 Non-employee fatalities as a result of work-related injuries Count 0 Other worker fatalities onsite as a result of work-related injuries Count 0 Topic Description Unit 2024 Total number and rate of employee recordable work-related accidents Employee recordable work-related accidents Count 77 Employee recordable work-related accidents Rate 1.11 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 278 General disclosures Environmental Social Governance Attractive workplace for all: Metrics table (continued)


 
Remuneration metrics (pay gap and total remuneration) Topic Description Unit 2024 Gender pay gap Gender pay gap Percentage 10% In 2024, we calculated our gender pay gap in accordance with ESRS. This metric is determined as the difference of average gross hourly pay levels between female and male employees, expressed as a percentage of the average gross hourly pay level of male employees. We arrived at an average hourly pay gap of 10.2%. A comparison to previous pay gap reporting under GRI is not available due to the substantial differences in methodology and underlying data required in accordance with ESRS. Read more in Sustainability statements – Social – Attractive workplace for all – Additional disclosures The gender pay gap calculation as described in the additional disclosures for S1-16 Remuneration metrics refers to the ‘unadjusted’ pay gap. This means that while we provided raw statistics around this topic, it does not account for objective factors for pay differences such as job level, performance, location, job family or tenure. Consequently, we cannot attribute the pay gap with pay equity issues per se. One main driver of our gender pay gap is the underrepresentation of female employees in higher paying roles (generally more senior positions). There is a higher proportion of men across all levels of the organization (79% men, 21% women) with the highest proportion in senior management (88% men, 12% women). Roles within senior management typically command higher market salaries and opportunities for larger financial incentives. In contrast, there is a high proportion of women in lower employee bands. Companies such as ASML, that operate within the technology industry, have traditionally faced challenges attracting women due to their underrepresentation in the STEM talent pool itself. We therefore continue to invest in the promotion of STEM subjects in primary and secondary school levels and will continue to do so to help further diversify the talent pool. We have also set targets to increase the representation of female employees overall and in leadership positions specifically. Read more about our targets and actions set for the inflow of women in all roles and female representation in senior leadership roles in Sustainability statements – Social – Attractive workplace for all – Diversity and inclusion Additionally, we commit to further evaluate and assess pay and to consider objective factors that can impact an employee’s pay, to ensure that no real pay equity issues are present at ASML. We aim to close any unjustified pay differences between men and women, adhering to local legislation at a minimum. Specifically, we are committed to ensuring we are ready to comply with the EU Pay Transparency Directive going live in July, 2026 and work is underway to support our readiness for this. Topic Description Unit 2024 Annual total remuneration ratio Annual total remuneration ratio Ratio 43 This ratio is reported on our global operations in accordance with the ESRS and therefore subject to currency volatility and purchasing-power differences between countries. We aim to attract, retain and motivate highly educated talent who are critical to deliver upon our strategy and growth ambitions. In pursuit of this ambition, we continually monitor the competitiveness of our remuneration packages. Therefore, our annual total remuneration ratio is reflective of external market trends across the world. Read more about how we are maintaining attractive remuneration in Sustainability statements – Social – Attractive workplace for all – Talent attraction, employee engagement and retention STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 279 General disclosures Environmental Social Governance Attractive workplace for all: Metrics table (continued)


 
Methodology on targets In this section, we elaborate on the methodology and insights used in formulating our targets. Our targets disclosed in this chapter, excluding long-term incentive indicators, are set by the Chief Human Resources Officer (CHRO) in line with the recommendation of the Human Resources and Organization (HR&O) leadership team taking into account insights gathered from employees and/or employee representatives. Progress against all targets are monitored regularly in leadership meetings to ensure our efforts are effective in reaching our ambitions. Talent attraction, employee engagement and retention Improve talent attraction by achieving specific employer brand score rankings in the Netherlands (top 5), United States (top 75), China (top 100) and Taiwan (top 5), by 2025 This target is based on a ranking of ASML and its competitors in the Universum employer brand ranking, which collects input from approximately 60,000 students and professionals annually among all priority countries. We measure our employer brand in the main locations where we operate – the Netherlands, the US, China and Taiwan – monitoring how well we are known and rated as an employer by external audiences and potential employees. In 2024, Universum discontinued its syndicated report for Taiwan. Therefore, a custom Universum survey for both students and professionals will be conducted in 2025 – which will help us to obtain comparable data. Targets are monitored and are adjusted based on discussion with Universum and our regional teams, as to what is feasible, as well as through benchmarking against competitor companies in each market. For each stage of the funnel (awareness, consideration, desire and application) the survey outcomes are used to determine what to focus on in terms of employer brand strategy and communications for the upcoming period and whether target levels should be recalibrated. By 2025, be within a 2% range of the benchmark employee engagement score achieved by the top 25% companies Every year we ask employees to complete our employee engagement survey. We use a validated survey from an external provider. The employee engagement score is derived from a subset of five questions in the survey. The scope of the survey and the target covers all employees and the 'N1-conversion' category of non-employees, who have worked at ASML for at least three months prior to taking our annual employee engagement survey. We want to compare ourselves and grow toward the top-performer category. Our engagement score target for 2025 is to be within a two percentage point range of the top 25% performing companies benchmark. The benchmark is based on the rolling averages for three years of the 75th- percentile favorable scores relating to engagement. In 2024, we updated our methodology from measuring our performance based on the survey score for one year (the survey conducted in the reporting period), to a three-year rolling average (using the scores achieved in the survey conducted in the reporting period and the two immediately preceding years). This was implemented to be consistent and comparable with the basis of the top 25% performing companies benchmark. Have an attrition rate of <7% by 2025 Our annual attrition rate is calculated as a monthly average across the reporting period. The monthly attrition rate is calculated as a percentage of the number of FTEs that left ASML during each month, compared to the total number of FTEs at the end of that month, multiplied by 100. Note that the scope and calculation basis for this approved target differs from the ESRS required ‘turnover’ metric. The ESRS ‘turnover' metric is based on the number of employees who leave voluntarily or due to dismissal, retirement or death in service during the reporting period in headcount. This excludes employees that leave as a result of fixed-term contracts (temporary contracts) reaching the agreed end of contract, whereas our attrition target takes into account all leavers in FTE. Learning and development By 2025, 80% of all employees should have at least one item (in progress) in their development plan This target covers employees who have at least one development item that has a ‘last updated’ within the past 12 months, divided by the number of employees. Measurement is taken at the end of the annual Develop & Perform cycle (March). The scope of this target covers all employees excluding ASML Berlin GmbH. This target is set based on current performance and the ambition to improve, considering what is feasible – given that new hires generally need some time to define development goals. Diversity and inclusion Achieve 24% inflow of women (all job grades) by 2025 At the time of setting the target, the baseline scope was defined as all new-hire women employees (including re-hires) that have joined ASML during the reporting year, excluding ASML Berlin GmbH. This does not include internal moves or transfers, nor does it include non- employees converting to employees. From 2024 onward, we report on all employees, including ASML Berlin GmbH employees. The 2024 inflow determined on the baseline scope, excluding ASML Berlin GmbH, results in an inflow of 27%. Current-year reported figures are determined as a percentage of all female employees who joined ASML, compared to the total number of joiners during the reporting period in FTE. Achieve 24% inflow (external hires only) of women to middle management and over (job grades 9+) by 2025 At the time of setting the target, the baseline scope was defined as all new-hire women employees (including re-hires) that have joined ASML in middle management roles and above during the reporting year, excluding ASML Berlin GmbH. This does not include internal moves or transfers, nor does it include non-employees converting to employees. From 2024 onward, we report on all employees, including ASML Berlin GmbH employees. The 2024 inflow determined on the baseline scope, excluding ASML Berlin GmbH, results in an inflow of 31%. Current-year reported figures are determined as a percentage of all female employees who joined ASML in job grades 9+, compared to the total number of joiners to job grades 9+ during the reporting period in headcount. Achieve 20% inflow (external hires and internal promotions) of women to senior leadership roles (job grades 13+) by 2024 At the time of setting the target, the baseline scope was defined as all new-hire women employees (including re-hires) that have joined ASML or have been promoted into senior leadership roles during the reporting year, excluding ASML Berlin GmbH. This does not include internal moves or transfers, nor does it include non-employees converting to employees. From 2024 onward, we report on all employees, including ASML Berlin GmbH employees. The 2024 inflow determined on the baseline scope, excluding ASML Berlin GmbH, results in an inflow of 18%. Current-year reported figures are determined as a percentage of female employees who joined ASML in job grades 13+ or were promoted into job grades 13+, compared to the total number of joiners in job grades 13+ including promotions into job grades 13+ during the current reporting period in headcount. Achieve 12% representation of women in senior leadership roles (job grades 13+) by 2024 At the time of setting the target, the baseline scope was defined as all employees and the 'N1- conversion' category of non-employees, excluding ASML Berlin GmbH. From 2024 onward, we report on all employees, including ASML Berlin GmbH employees. The 2024 representation target determined on the baseline scope, excluding ASML Berlin GmbH, results in a representation of 13%. Current-year reported figures are determined as a percentage of female FTEs in job grade 13+, compared to the total FTEs in job grade 13+ on the last day of the reporting period. The scope and calculation basis for this target differs from the ESRS required 'gender distribution at top management' metric. The ESRS metric is reported using headcount and excludes 'N1-conversion' category of non- employees. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 280 General disclosures Environmental Social Governance Attractive workplace for all: Additional disclosures


 
By 2024, be within a 3% range of the benchmark inclusion score achieved by the top 25% companies This target is based on our annual employee engagement survey. The inclusion score is derived from a subset of eight inclusion related questions in the survey. The benchmark is based on the rolling averages for three years of the 75th- percentile favorable scores relating to inclusion. In 2024, we updated our methodology from measuring our performance based on the survey score for one year (the survey conducted in the reporting period), to a three-year rolling average (The average of the scores achieved in the survey conducted in the reporting period and the two immediately preceding years). This was implemented to be consistent and comparable with the basis of the top 25% performing companies benchmark. The scope of the survey and the target is all employees and 'N1-conversion' category of non- employees, who have worked at ASML for at least three months prior to taking our annual employee engagement survey. Occupational health and safety Achieve a recordable incident rate of 0.16 or below, by 2025 This target covers all employees working for ASML and all people working under our supervision. Our recordable incident rate is in line with the OSHA guidelines – the number of cases that required more than first aid in a year per 100 FTE. To benchmark our performance against industry standards, we use a targeted recordable incident rate of 0.16 – an industry benchmark for top-class performance. This target is set by EHS leadership based on internal trend analysis of incidents and external benchmarking of peer industries. Incidents are reported in myEHS and classified as recordable by EHS Experts applying the OSHA guidelines. The scope and calculation basis for the actual rate measured against the external benchmark differs from the ESRS required 'rate of recordable incidents' metric as follows: • The OSHA definition of ‘work-related’ is followed for the target, while the ESRS guidance is followed for the ESRS-reported metric • Both reported recordable work-related injuries and ill health incidents within the EHS reporting system are taken into account in the target. Purely recordable work-related injuries are in S1-14 ESRS scope for 2024, with ill health being a phased-in requirement. • Both employees and the 'N1-conversion' category of non-employees are taken into account in the actuals compared to target. Only employees are in ESRS scope for 2024, with the non-employee group being a phased-in requirement • In calculating the incident rate in relation to the target, actual hours worked is estimated based on average number of contracted hours, assuming that employees work 2,000 hours a year (set by OSHA). For ESRS, hours worked is estimated based on normal or standard hours of work per location, taking into account paid vacations, paid public holidays and sick leave. • In relation to target, the rate is based on the number of cases per 200,000 hours worked and for the ESRS metric, the rate is based on the number of cases per one million hours worked. Well-being In 2024, maintain an overall well-being score of 81%, and no scores on individual questions within the well- being score below 75% This target is based on our annual employee engagement survey. The well-being score is derived from a subset of eight well-being related questions in the survey. The scope of the survey and the target is all employees and 'N1-conversion' category of non- employees, who have worked at ASML for at least three months prior to taking our annual employee engagement survey. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 281 General disclosures Environmental Social Governance Attractive workplace for all: Additional disclosures (continued)


 
Methodology on metrics General methodology: Scope includes all employees working in entities in scope of sustainability reporting and based on data registered on our employee databases unless otherwise stated by use of an estimate. The number of employees has been reported on a headcount basis as at the end of the reporting period. S1-6 Employee characteristics Employees The gender breakdown is based on gender as specified by the employees themselves on our employee databases. 'Temporary employees' reported under ESRS differs from that applied for Consolidated financial statements reporting. 'Temporary employees' reported in the Consolidated financial statements comprises contractors or agency placements that meet the definition of 'non-employee' under ESRS. 'Temporary employees' under ESRS refers to 'payroll employees' as reported in Consolidated financial statements that have a finite duration employment contract. Turnover Employee turnover is reported based on the headcount of employees who leave ASML voluntarily or due to dismissal, retirement or death in service, thereby excluding termination by way of reaching the end of the agreed contact duration. The rate of employee turnover for the period is calculated on a headcount basis as a monthly average across the reporting period. S1-8 Collective bargaining coverage and social dialogue The coverage of collective bargaining agreements has been determined based on the scope stipulated in the respective collective bargaining agreements. The employees covered by social dialogue has been determined based on the number of employees within our establishments where Works Council or employee representatives have been duly elected. The percentage coverage per significant employment country (within EEA) or region (outside EEA) is calculated in proportion to the total number of employees within the country or region. S1-9 Diversity metrics The gender distribution in number and percentage at top management level has been determined in relation to ASML's top management level as defined. S1-10 Adequate wages Adequate wage assessment: Annually at the end of the period for each location where we operate, ASML's lowest annualized wage paid to employees is compared to the adequate wage benchmark. ASML lowest wage: ASML lowest wage consists of an annual basic wage at a full-time equivalent basis and fixed payments that are guaranteed to employees at the time of the assessment. Adequate wage benchmark: The adequate wage benchmark is based on the higher of the most recent minimum and living wage (lower- bound guidance thresholds) per location. The most recent thresholds are sourced from a reputable independent third party. S1-13 Learning and development metrics Performance and career development review: As part of our Develop & Perform program, employees receive an annual performance and career development review as defined. Employees not eligible for an annual performance and career development review are: employees with a hire date on, or after, October 1, members of the BoM and employees marked as ineligible by Human Resources due to long-term absence. The percentage of employees with a performance and career development review is reported in proportion to both the total number of employees and the number of employees eligible. These percentages are broken down by gender as per S1-6. Average number of training hours per employee and by gender methodology: The average number of training hours per employee is based on the number of training hours completed and registered by employees on our learning platforms. The average training hours per employee are reported by gender as per S1-6. S1-14 Health and safety metrics Percentage of employees covered by our health and safety management system: The percentage is determined in relation to employees with access to and covered by myEHS. Number of employee fatalities as a result of recordable work-related injuries: This is based on the number of recordable work-related injuries which resulted in death, as reported in myEHS during the period. Number of non-employee and other worker fatalities as a result of recordable work-related injuries: This is based on the number of recordable worked-related injuries occurring onsite which resulted in death, as reported via myEHS or otherwise to ASML during the period. Number of recordable work-related injuries by employees: This is based on the number of recordable work-related injuries, as reported in myEHS during the period. Rate of recordable work-related injuries by employees: This rate is determined based on the number of employee recordable work-related injuries divided by the estimated number of hours worked by employees during the period multiplied by 1,000,000, to represent the number of respective cases per one million hours worked. Estimate of the number hours worked by employees for the period: Due to the limitation of internal data available on number of hours actually worked by our employees, we have estimated the hours worked based on normal scheduled hours of work per ASML location, taking into account paid vacations, paid public holidays and sick leave. S1-16 Remuneration metrics (pay gap and annual total remuneration) Annual remuneration: Annual remuneration comprises all four components of ASML’s remuneration policy: base salary; STI (cash bonus); LTI (share-based incentive), and pension and other benefits. Annual remuneration represents full-time equivalent basis, in local currency translated to the reporting currency using the average exchange rates for the period. This is not adjusted for purchasing-power differences between countries. Base salary comprises basic wage for 12 months and guaranteed fixed payments. STI (cash bonus) in the form of performance- related plans is based on the employee’s job grade, the type of bonus plan and the company/ individual performance. STI data used for ESRS reporting is consistent with the Consolidated financial statements accrual for the period without applying a pro-rata for part of the year in order to reflect the annualized value. Read more about our STI accrual in Financial statements – Consolidated financial statements – Notes to the Consolidated financial statements – Note 18. Personnel expenses and employee information LTI (shared-based incentive) is an equity-based bonus award that, when vested, results in shares being granted to ASML employees during the period. LTI data used for ESRS reporting is consistent with the LTI expense for the period reported in the Consolidated financial statements. Read more about our LTI calculation in Financial statements – Consolidated financial statements – Notes to the Consolidated financial statements – Note 20. Share-based compensation STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 282 General disclosures Environmental Social Governance Attractive workplace for all: Additional disclosures (continued)


 
Pension and other benefits: Consists of both cash and in-kind benefits including cash allowances, such as shift allowances and car allowances, and in-kind benefits such as use of a company car and ASML-funded health insurance. For the purpose of reporting these metrics, we have excluded all one-off benefits such as relocation allowances, severance and long service awards as well as inconsequential benefits for example meal allowances. Gender pay gap: This metric is determined as the difference of average gross hourly pay levels between female and male employees, expressed as a percentage of the average gross hourly pay level of male employees. The number of employees used in the calculations represents all active employees, excluding employees that have been with the company for three months or less at the end of the reporting period. For the purpose of calculating the gender pay gap we exclude employees falling within the 'Other' and 'Non- disclosed' gender categories. Average gross hourly pay level: The gross hourly pay level is determined by dividing an employee’s annual remuneration by the number of full time scheduled hours of that employee for the location and period. The average gross hourly pay level of female and male employees is determined separately. The data and methodology applied in prior- periods are not in accordance with ESRS; therefore, comparatives have not been reported. Annual total remuneration ratio: This ratio is determined by dividing the annual remuneration of the highest-paid employee by the median annual remuneration (excluding the highest-paid employee) for the period. This metric differs to the Internal pay ratio disclosed in our remuneration report in accordance with the Dutch Corporate Governance Code. The denominator used in calculation of the Internal pay ratio is based on the average personnel expenses per FTE whereas the use of a median annual remuneration and headcount basis is applied for reporting under ESRS. The annual remuneration of the highest-paid individual is disclosed in our Remuneration report and is used as the numerator in this calculation. Read more in Corporate governance – Remuneration report Median annual total remuneration: The median annual total remuneration for the period is determined by taking the mid-point annual remuneration of all active employees at the end of the reporting period excluding the highest-paid employee and excluding employees that have been with the company for three months or less as at the end of the period. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 283 General disclosures Environmental Social Governance Attractive workplace for all: Additional disclosures (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 284 General disclosures Environmental Social Governance Responsible value chain We aim to prevent, mitigate and manage adverse environmental and human rights impacts in our value chain ...for the planet ...for ASML A responsible value chain is a transparent one in which human rights and the environment are respected and negative impacts are prevented and addressed. By working with value chain partners that are aligned with our values and committed to upholding international human rights and environmental standards, we can make a positive contribution to society and the planet. Identifying, preventing, mitigating and managing impacts and risks across our value chain is not something we can do alone. Collaboration with our value chain partners is essential. Only then can we successfully identify, prevent, mitigate and manage the impacts and risks that occur across our value chain. This includes both human rights and environmental impacts – ultimately increasing our value chain resilience. Our continuous improvement efforts toward a responsible value chain are important: ...for our customers Our approach contributes to their environmental due diligence and human rights objectives. Our supply chain is their supply chain. ...for our employees Our approach aligns with their expectations regarding responsible business conduct. ...for our suppliers Our approach contributes to risk mitigation for their workers, supply chains and businesses. ...for our shareholders Our approach contributes to investors’ objectives to improve long-term sustainability performance and minimize business costs. ...for society Our approach contributes to societal objectives for respecting the environment and human rights. Read more about our double materiality process and identified impacts, risks and opportunities for this theme in Sustainability statements – General disclosures – Impact, risk and opportunity management Our 2024 progress: 5,150 Total suppliers (The Netherlands: 1,600 | EMEA (excl. NL): 750 North America: 1,400 | Asia: 1,400) 91% Responsible Business Alliance (RBA) self-assessment completed (in %) (2025 target: 90%) 100% Suppliers with overall high risk evaluated and follow-up agreed (in %) (2025 target: 100%) Why it matters


 
Our objective The goods and services we purchase, the design choices we make and the products we sell are potentially linked to impacts on the environment and human rights across our value chain. We strive to identify and manage adverse impacts to the environment and people occurring in our value chain, to prevent potential impacts and to mitigate and remediate actual impacts when they occur. We set out our commitments, principles and governance for managing environmental and human rights matters across our value chain – also referred to as environmental and human rights due diligence. This includes how we manage environmental and human rights matters in relationships with our customers, suppliers and other business partners, and how we manage environmental and human rights matters in decision choices. Responsible product design Responsible supply chain Whoever uses materials and designs a product takes responsibility for managing the environmental and human rights impacts from the choices made throughout all stages of its life cycle – from extraction of raw materials to end-of-life management. A transparent supply chain in which human rights and the environment are respected, positive contributions are made to society and the environment, and negative impacts are prevented and addressed. Responsible product use The environment and human rights are respected in product use, positive contributions are made to the environment and society, and actors across our value chain participate in a common effort toward preventing and addressing impacts related to their products and services. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 285 General disclosures Environmental Social Governance Responsible value chain: How we’re managing


 
Our approach Our approach is derived from key international standards, including the OECD Guidelines for Multinational Enterprises on Responsible Business Conduct and the UN Guiding Principles on Business and Human Rights. Our environmental and human rights due diligence framework is based on the six steps as described in the OECD Due Diligence Guidance for Responsible Business Conduct, and defines how ASML identifies, prevents, mitigates and accounts for actual and potential impacts across its value chain. We strive to identify, assess and prioritize the most salient human rights and environmental risks and impacts across our value chain, from raw materials extraction to end of life. A transparent value chain is essential to identify risks and impacts at the earliest stage possible, as we strive to prevent, mitigate and remediate impacts linked to our purchased goods and services and the use of our products. Through our relationships with customers and direct suppliers, we are able to identify, assess and manage impacts and risks. We have less visibility and influence regarding impacts that occur deeper upstream and downstream in our value chain, but strive to identify higher-risk sectors, geographies and value chains where impacts occur and seek ways to take a role in appropriately addressing them. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 286 General disclosures Environmental Social Governance Responsible value chain: How we’re managing (continued) Our environmental and human rights due diligence framework We manage our impacts across the value chain through implementing our six steps for action: Raw material extraction and processing Tier n supply chain Tier 1 supply chain Chip makers Device makers ICT industry Society End of life Use of digital technology Impact of digital technology Waste Raw material to parts and services Parts and services to ASML Semiconductor production Production of digital devicesExtraction to raw material Potential impacts Potential negative impacts on workers in 3TG (conflict) minerals supply chains – including exposure to violence, human trafficking, forced labor and child labor linked to the extraction and processing of 3TG minerals in conflict-affected and high-risk areas. Potential negative impacts on supply chain workers, considering inherent human rights risks in the countries and sectors in which our tier-n suppliers operate – including long working hours, inadequate wages, lack of freedom of association, limitations to collective bargaining, risks to health and safety, human trafficking, forced and child labor. Potential negative impacts on workers in our downstream value chain, considering inherent human rights risks in the technology industry – including long working hours, inadequate wages, lack of freedom of association, limitations to collective bargaining, risks to health and safety, human trafficking, forced and child labor. Potential negative impacts on people’s quality of life linked to the use of microchip-enabled technology – including risks resulting from the misuse of technology. Positive impacts on people’s quality of life by enabling our customers and other actors across our value chain to deliver on the potential of technology to positively contribute to society – for example, by facilitating accessible healthcare and food security.


 
Our environmental and human rights due diligence framework is based on the six steps as described in the OECD Due Diligence Guidance for Responsible Business Conduct. 1. Embed in policies and management system We manage our approach toward a responsible value chain as an integrated part of our corporate strategy – we have governance in place to monitor and guide the organization on our commitments. We have assigned accountability and responsibilities for execution across various levels in the organization. As a member of the Responsible Business Alliance (RBA), we have adopted the RBA Code of Conduct. This is a set of standards relating to labor (human rights of all workers), health and safety (minimizing the incidence of work-related injury and illness), environment and ethics. We expect our suppliers to comply to the RBA Code of Conduct and to cascade this requirement to their suppliers. We take a risk-based approach to including ESG requirements in supplier contracts and communicate our expectations to suppliers via various channels like the ASML Supplier Handbook, Conflict Minerals Program and RBA Program, where relevant. We regularly review and update our ESG sustainability policies as operations, supply chains and business relationships evolve. Updates are based on our assessment of new impacts that emerge from these developments. 2. Assess and prioritize adverse impacts A transparent value chain is essential to identify potential and actual adverse impacts at the earliest stage possible, to prevent potential impacts and address actual impacts quickly. Therefore, we are committed to making our value chain more transparent. We regularly identify and assess potential and actual environmental and human rights impacts across our value chain, from raw materials extraction to end of life. This includes: • Identifying and assessing impacts we have caused through our operations or have contributed to in direct business relationships, and those linked to us through purchased goods and services, sold products and business relationships • Identifying and assessing general areas where adverse impacts occur or might occur, considering risk factors related to geography, sector and materials • Identifying and assessing impacts linked to specific direct and indirect business partners based on entity-specific risk factors and information • Identifying and assessing impacts linked to materials used in product design and purchased goods based on material- specific risk factors and information • Taking into account any known or reasonably foreseeable circumstances related to the use of ASML’s products and services in accordance with intended purpose, or under conditions of reasonably foreseeable improper use or misuse • Engaging with stakeholders across our value chain, or with their representatives, to understand how they are or might be impacted • Prioritizing adverse impacts for risk prevention and mitigation based on the severity of actual impacts and the severity and likelihood of potential impacts In determining the best course of action, we consider the nature of our involvement and our leverage in the situation. 3. Prevent, mitigate and manage adverse impacts We strive to avoid causing or contributing to negative impacts on the environment and human rights, addressing such impacts when they occur. Situations might occur in which negative impacts are linked to our operations, products and services by an actor in the value chain, while we have not contributed to those impacts. Responsibility to prevent, mitigate or remediate these impacts is with the actor that causes or contributes to it – however, we may seek ways to take a role in addressing these impacts, taking into account our level of influence and ability to effect change in the situation. Responsible product design We realize the design and use of our products might result in negative impacts across our value chain. In product design choices, we consider environmental and human rights impacts that may occur in the supply chain or in the downstream value chain through use of our products. This includes, for example, designing products that are safe for customers’ employees to work with and considering the impact that materials may have in the supply chain or product end-of-life management. Responsible supply chain and responsible product use We conduct third-party due diligence and collaborate with suppliers, customers and other value chain actors to identify, prevent and mitigate potential environmental and human rights impacts. We expect third parties to uphold our standards for respecting the environment and human rights, and we encourage actors across the value chain to participate in a common effort. This includes providing guidance, support, and training opportunities for suppliers to help them improve sustainability performance. We perform third-party due diligence, including: • Risk-based ESG assessment of third parties prior to onboarding and entering into a business relationship. • A contractual requirement for suppliers to adhere to the RBA Code of Conduct and risk-based validation of their compliance. • Continuous monitoring to assess red flags and identify areas for follow-up and improvement, such as establishing dialogue with a supplier, agreeing on mitigating or corrective measures, performing spot-checks or audits, or validating implementation of agreed actions. • Mitigating actions where findings or increased risks are identified, such as establishing dialogue with a supplier, specifying contractual clauses, and performing spot-checks or audits. • We support continued engagement with suppliers and strive for continuous improvement and remediation where appropriate. We aim to disengage from a business relationship only after failed attempts at mitigation, or where we deem mitigation not feasible, taking into account whether terminating a business relationship would have adverse environmental or human rights impacts in itself. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 287 General disclosures Environmental Social Governance Responsible value chain: How we’re managing (continued) Levers for action


 
Our Third-Party Risk Management process defines requirements for due diligence on prospective partners as well as during the business relationship, as an integral part of our environmental and human rights due diligence processes. The RBA Self-Assessment Questionnaire (SAQ) is an aspect of our third-party due diligence and is part of continuous monitoring to ensure suppliers consistently meet our standards, as specified in the RBA Code of Conduct. Acknowledgement of the RBA Code of Conduct is done through our Long-Term Supplier Agreements. We expect our higher-risk suppliers to complete the RBA SAQ each year to validate their compliance with the RBA Code of Conduct, and to determine any potential gaps in relation to its standards. We review all RBA SAQ results, evaluate any high-risk findings and determine the severity of the findings – it is our policy to discuss all high-risk findings with the supplier to evaluate the risk and determine whether an improvement plan is needed. Value chain collaborations We engage in industry-wide collaboration to implement common standards and practices of environmental and human rights due diligence. This includes information-sharing, engaging with regulators and policymakers on issues, and collaborating with industry associations and other stakeholders to address environmental and human rights matters. We support educational institutions, research institutions, startups, scaleups and ESG platforms and collaborations in solving key ESG-related challenges through stimulating and financing research on breakthrough technologies. Read more in Sustainability statements – Social – Innovation ecosystem 4. Track implementation and results We are constantly improving ways to monitor and track our environmental and human rights due diligence processes, with the purpose of considering whether these are effectively implemented and whether they have responded effectively to identified (potential) human rights impacts – driving continuous improvement. For impacts arising from our own operations, progress is tracked via internal audits, engagement with workers and workers’ representatives, and impact assessments – including, for example, analyses of salaries for gender disparity and life cycle assessments (LCAs) on environmental impacts. For impacts arising in the supply chain, we track progress via SAQs of suppliers, our third-party risk management process and RBA audits (including tracking progress on corrective action plans). For actual impacts identified via our grievance mechanism (Speak Up Service) – or other channels like the National Contact Points for the OECD Guidelines for Multinational Enterprises – follow-up is tracked via our Speak Up Service. We periodically review the implementation progress of our due diligence processes and outcomes achieved to identify trends and areas of improvement – the outcomes of which are communicated with senior leadership. 5. Communicate impacts and progress We embrace continuous, open dialogue and knowledge-sharing for the benefit of all parties. Effective and meaningful engagement with stakeholders is a critical enabler of the execution of our ESG sustainability strategy. Our stakeholder engagement approach comprises the following activities: • We aim to listen to stakeholders across the value chain to increase our understanding of their concerns, needs and wishes – and we integrate their feedback in our materiality process to ensure we work on the issues that matter most. • We aim to increase stakeholder awareness of our strategy and business priorities, including ESG sustainability and other relevant information. • We aim to align and synchronize relationships with stakeholders to ensure collaboration toward shared objectives. • We report publicly on our practices regarding environmental and human rights matters in our Annual Report. Read more in Strategic report – Our business – Engaged stakeholders 6. Remediate impacted stakeholders Employees, business partners and any third party can raise questions and/or concerns regarding potential Code of Conduct violations – including environmental impacts and human rights – with designated ASML representatives, the Ethics Office or via our Speak Up Service. Our Speak Up Service is available not only for employees but for all affected stakeholders – such as workers across our value chain and other individuals whose rights may be negatively impacted by our business, as well as human rights interest groups and trade unions. Read more in our Speak Up and Non-retaliation Policy available at asml.com Why it matters: Impacts, risks and opportunities For responsible product use we have identified the following: Impacts: Impacts on human rights considering risks inherent to the technology industry Improved quality of life through access to ICT and digital services Impacts from potential misuse of technology Risks and opportunities: Increased demand for microchip- enabled tools and solutions that can help society make progress and address global challenges Read more in Strategic report – Performance and risk – Risk Our approach for ‘Responsible product use’ is in development and we will report on this in the coming years. Read more about Responsible product design in Strategic report – Corporate conduct – Product safety STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 288 General disclosures Environmental Social Governance Responsible value chain: How we’re managing (continued) Levers for action


 
Our scope Our first focus is on our Tier 1 suppliers, who are also in the best position to influence their own supplier base. Our supply chain – which you can find more details about in the diagram on the right – covers our three main regions of Europe, the US and Asia. There is a difference between our definition of business-critical, strategically important suppliers and suppliers in scope of the RBA SAQ. For the latter category other factors are applied, as we have a focus that goes beyond our own company incorporating environmental factors and human rights. Why it matters: Impacts, risks and opportunities For responsible supply chain, we have identified the following: Impacts: Inadequate or poor working conditions in our supply chain Lack of access to equal opportunities across our value chain Forced and child labor in conflict areas Risks and opportunities: Failure to comply with rules and regulations regarding conflict minerals Disruption in the supply chain due to unavailability of workers Read more in Strategic report – Performance and risk – Risk ASML suppliers STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 289 General disclosures Environmental Social Governance Responsible value chain: Responsible supply chain 5,150 Suppliers €16.0bn Total spend 1,400 suppliers 750 suppliers 161 suppliers 21% of this spend 90% of this spend 250 suppliers Business-critical, strategically important suppliers by percent spend Supplier base geographic split by percent spend 1,400 suppliers 1,600 suppliers


 
Targets and performance We have set two targets related to the RBA SAQ: Achieve 90% of all suppliers in scope of the RBA SAQ to have completed it by 2025 We have asked a total of 147 in-scope suppliers to complete the detailed RBA SAQ in 2024. In general, the RBA SAQ results show a relatively low risk level in our supply base, as most of our suppliers operate in countries which we believe generally have a strong rule of law. By the end of 2024, 91% of the suppliers in scope had completed the RBA SAQ.The base year for this target is 2020, when 88% of all suppliers in scope completed the RBA SAQ. External stakeholders were not involved in setting our target. Despite reaching our target percentage we have not adjusted the percentage as such. The reason is that we aim to increase the number of in-scope suppliers each year. Achieve 100% of our suppliers identified by the RBA SAQ as having overall high- risk to be evaluated and follow-up action agreed by 2025 The RBA process did indicate high risks in labor, health and safety, environment or ethics standards for several suppliers. This year the results of the RBA SAQ showed an increase in risk levels at the suppliers in scope, because of a change in the questionnaire and related scoring. This results in more diverse scores and associated risk levels which support us to focus our follow-up actions. All nine suppliers with an overall high-risk score were evaluated and high-risk elements are all followed up and mitigated. Most were related to 'environment', e.g. no GHG reduction goal, and 'health and safety', e.g. incidents like fire or injuries. Follow-up actions were targeted at overall high risk suppliers and suppliers with a forced labor risk, e.g. no policy, process or knowledge on forbidden recruitment fee repayment and other forced labor associated risk factors like involuntary overtime and use of migrant workers. We do not require suppliers to have a formal environmental/labor management system in place. All suppliers that were followed up with were able to show that they have a policy/procedure in place to ensure compliance with ethics, labor, health and safety and environmental requirements or are planning to do so. The baseline for this target is 100%. External stakeholders were not involved in setting our target. Elements from RBA SAQ Element RBA commitment Labor To uphold the human rights of all workers (direct and indirect), and to treat them with dignity and respect as understood by the international community, including the ILO's eight fundamental conventions. Health and safety To minimize the incidence of work-related injury and illness and to ensure a safe and healthy working environment. Communication and education are essential to identifying and solving health and safety issues in the workplace. Environment Environmental responsibility is integral to producing world-class products and services. Adverse effects on the environment, natural resources and community are to be minimized while safeguarding the health and safety of the public. Ethics To meet social responsibilities and to achieve success in the industry, the highest standards of ethics should be upheld, including but not limited to business integrity, anti-bribery and corruption, antitrust and competition, protecting privacy. Members and participants are committed to establishing a management system to ensure: • Compliance with applicable laws, regulations and customer requirements • Conformance with the code standards • Identification and mitigation of operational risks • Facilitation of continuous improvement STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 290 General disclosures Environmental Social Governance Responsible value chain: Responsible supply chain (continued) Performance indicator Unit 2024 Target Target date Status RBA self-assessment completed (in %) % 91% 90% 2025 On track ò Suppliers with overall high risk evaluated and follow-up agreed (in %) % 100% 100% 2025 On track ò


 
Tracking our performance We track our performance on our responsible supply chain targets by engaging with suppliers via email, meetings and dedicated engagement sessions to communicate our actions and drive progress. We collect feedback from suppliers about the potential roadblocks or improvements related to these initiatives, and we share our experience with them. We currently do not engage directly with workers, consumers and end-users or affected communities across the value chain. As part of the Human Rights Saliency Assessment, we conducted stakeholder engagement in 2024 with legitimate representatives and with credible proxies of these stakeholder groups. Our actions and resources Each year, we request that our suppliers submit the RBA SAQ. This action contributes to identifying and assessing impacts, risks and opportunities across the supply chain (step 2 of our environmental and human rights due diligence framework in How we’re managing). It is our policy to discuss all high-risk findings with the supplier to evaluate the risk and determine if an improvement plan is needed. When the result of the SAQ scores is high-risk, we request the supplier to elaborate on their responses and/or answer follow-up questions. In case the high risk remains after further evaluation and clarifications with the suppliers, we work with the supplier to define an action plan to close the high-risk areas. During regular table meetings we track and assess both the proportion of suppliers who have completed the RBA SAQ and the progress made on the high risks evaluated and related follow-up activities. Resources The resources needed for this action are included in the Consolidated financial statements in Selling, general and administrative costs. They consist of our annual RBA membership fee and personnel expenses for the colleagues executing the activities from our Strategic Sourcing and Procurement and Risk and Business Assurance departments. Depending on the amount of follow-up needed throughout the year, this ranges from three to four FTEs with an associated annual cost of approximately €0.6 million. One of our key focuses for 2024 has been to assess suppliers against the sustainability block of our supplier profile and actively follow up on gaps. During 2024 we conducted 107 audits. With respect to the ‘S’ of the ESG program, we will execute on the expanded due diligence process and use these learnings and findings to further update our procurement policies. We will actively follow up on identified high risks. Pursuant to the German Supply Chain Due Diligence Act, we performed a risk analysis on suppliers in scope and continue to monitor these as an integral part of our Human Rights and Responsible Supply Chain programs. Looking ahead Pursuant to the outcomes of our Saliency Assessment on human rights impacts in the supply chain, we are further developing methods for risk identification and prioritization, further mapping our supply chains and expanding the scope of suppliers within RBA monitoring. We are further building our resources in terms of managing, preventing and mitigating adverse human rights impacts. We are strengthening our capabilities regarding the management of conflict minerals and responsible minerals sourcing. We will build on the results of the Saliency Assessment by further identifying environmental impacts. The above will assist us in preparing for implementation of the CSDDD and other relevant due diligence regulations. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 291 General disclosures Environmental Social Governance Responsible value chain: Responsible supply chain (continued) Conflict minerals Our products contain minerals and metals necessary to the functionality or production of our products. Such minerals and metals include tantalum, tungsten, tin and gold. These are 3TG minerals, or so-called ‘conflict minerals’. While we do not use a significant amount of these in the manufacturing of our products, certain 3TG minerals are necessary. Gold, for example, is used in coating critical electronic connectors and tin is used for welding electronic components and creating EUV light. In our Human Rights Policy we have a section on conflict minerals, for responsible sourcing of materials in our supply chain. We support international efforts to ensure the mining and trading of 3TG minerals from high-risk locations does not contribute to conditions of armed conflict and/or serious human rights abuses. We have adopted a series of compliance measures based on the legal requirements and guidelines of the five-step framework set out by the OECD Due Diligence Guidance for Responsible Supply Chains of Minerals from Conflict-Affected and High-Risk Areas. As part of our responsible sourcing program, we implement conflict minerals due diligence, focusing on five areas: a robust management system; risk identification; risk mitigation; industry collaboration with the Responsible Minerals Initiative (RMI); and public reporting. Despite our continuous efforts, we are unable to determine the precise origin of the 3TG minerals included in all our products. This is due to several reasons: 3TG supply chain complexity, the number of tiers of suppliers to trace the source, and the limited number of certified conflict-free smelters for all conflict minerals. Obtaining correct data from our supply chain is a challenge, and we continue to encourage our suppliers to trace the origins of the 3TG minerals within their supply chain in accordance with applicable conflict minerals rules and regulations. We also request our suppliers to report smelters who are not listed or identified on the RMI smelters list to the Responsible Minerals Assurance Process (RMAP). In 2023, we increased the supplier scope and emphasis on the importance of delivering complete and accurate information. Out of 329 in-scope suppliers, 46 suppliers did not provide us with information sufficient to work with. From the remaining 283 suppliers, 58 indicated that there were no 3TG minerals in the products that they supplied to ASML. The remaining in-scope suppliers provided a complete set of information that we used to determine the unique smelters in the supply chain (excluding duplicates). We identified 482 unique smelters in 2023, of which 236 are RMAP conformant (as of May 2024). Read more in our Conflict Minerals Report available at asml.com


 
Methodology on targets Responsible supply chain Achieve 90% of all suppliers in scope of the RBA SAQ to have completed it by 2025 We identify suppliers that either have a high potential risk, because of the services they provide, the sector they operate in or the country they operate in, or are material to ASML. Both of the identified supplier categories are included in the scope of our RBA SAQ. To determine which suppliers are potentially high risk, we analyze the risk of the country of operation and the sector risk using the RBA assessment platform. Additionally, we added to our scope specific categories that have a potential high risk: onsite service providers and labor agents. To determine which suppliers are material to ASML and we have leverage over, we look at spend as a main factor and include the suppliers (both PR and NPR) that together make up 80% of our total yearly spend. We also take in scope the suppliers that together make up 80% of our product category (PR or NPR) yearly spend. Lastly, we add those that, on a supplier group level, together have over a €25 million spend on an annual basis. Achieve 100% of our suppliers identified by the RBA SAQ as having overall high-risk to be evaluated and follow-up action agreed by 2025 In case of (high-risk) findings, we take mitigating actions such as obtaining clarifying information, specifying contractual clauses, performing audits or setting requirements for a third party to complete specific training. The scope of this target is limited to suppliers for which an overall high-risk is identified in the RBA SAQ. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 292 General disclosures Environmental Social Governance Responsible value chain: Additional disclosures


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 293 General disclosures Environmental Social Governance Innovation ecosystem A thriving, multi-regional innovation ecosystem that helps solve some of humanity’s toughest challenges Our focus on collaboration and innovation is important: ...for our customers We develop our technology in close collaboration with our customers to ensure we build today what they need tomorrow. ...for our employees To maintain our fast pace of innovation and ensure long-term success as a company, we need to attract and retain the best talent. ...for our suppliers We do not innovate in isolation – we see ourselves as architects and integrators. We trust our supply chain to innovate with us and manufacture most system parts and modules. ...for our shareholders Innovation drives our technological leadership, long-term success and value creation. ...for society Digital technologies are some of the most important tools to help society make progress and address global ESG challenges – for example, related to the United Nations Sustainable Development Goals (UN SDGs). Read more about our double materiality process and identified impacts, risks and opportunities for this theme in Sustainability statements – General disclosures – Impact, risk and opportunity management Our 2024 progress: €4.3bn R&D costs (based on US GAAP) (2025 target: >€4.0bn) €1.3m Value startups and scaleups in-kind support ...for the planet ...for ASML Sharing our knowledge and expertise helps strengthen our regional high-tech ecosystems, particularly around our headquarters in Veldhoven, the Netherlands. The Brainport Eindhoven region surrounding Veldhoven has a competitive edge globally, and we aim to maintain this leadership position. Building a strong regional foundation benefits our partners and other companies and organizations in the region. The ESG-focused research, startups and scaleups we support, as well as the STEM education we promote, help increase the technical talent pool society requires to solve some of its key challenges. As the markets for artificial intelligence (AI), 5G connectivity, augmented reality and the internet of things (IoT) expand, consumers across the world are using ever more powerful and sophisticated devices that are increasingly interconnected. These developments drive demand for microchips, which in turn drives demand for the chipmaking systems that produce smaller, faster, cheaper, more powerful and more energy-efficient microchips. We can only meet this demand by consistently and continuously advancing our technology through innovation. Why it matters


 
Our objective Our primary objective is to foster innovation through collaboration and partnerships – where trust serves as the foundation for long- term cooperation – to create technological solutions that benefit society as a whole. ESG innovation We aim to have a positive impact on local communities and society through R&D, innovation, knowledge management and initiatives that support innovative ideas to solve key ESG challenges. STEM education to feed the STEM pipeline for ASML Through global university partnership programs, hybrid teaching, guest lectures, curriculum development, work study programs and scholarships, we help to grow our talent pipeline, on both vocational and academic levels. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 294 General disclosures Environmental Social Governance Innovation ecosystem: How we’re managing


 
Our approach Our experts at ASML are architects and integrators who work together and in collaboration with external partners across the innovation ecosystem, pushing the boundaries of what we can achieve. We aim to develop long-term innovation partnerships and collaborations based on trust and knowledge-sharing across this ecosystem. Pooling our expertise and resources enables us to build a stronger knowledge network and create new technological solutions that benefit the whole of society – as well as sharing risks and rewards to accelerate innovation. We partner on and invest in STEM initiatives to educate and empower the next generation of STEM leaders, helping them to realize their untapped potential and inspiring them to begin solving the world's most pressing issues. We aim to develop partnerships with key stakeholders that incentivize knowledge and innovations that enable the UN SDGs. We report publicly on key elements of our ESG-focused innovation approach in our Sustainability statements. We have identified the following sub-topics worldwide: • ESG innovation • STEM education to feed the STEM pipeline for ASML Read more in Sustainability statements – General disclosures – Impact, risk and opportunity management Levers for action Collaborating on ESG-focused innovation In the context of innovation related to ESG topics, we contribute to the development of a sustainable innovation ecosystem through: • ESG-focused research projects • Supporting regional deep-tech scaleups and startups selected for their ambition to contribute to a better, more sustainable world • ESG-focused platforms and collaborations with local, industry and global platforms to jointly tackle ESG challenges Promoting STEM opportunities to feed our STEM talent pipeline We believe all children should be aware of the applications of STEM in their daily lives and have access to technical education in order to be prepared for an increasingly digital future and reach their full potential. That is why we invest in promoting STEM education. We work to build relationships with universities and potential talent by offering students work exposure and, internships, hosting student events, teaching assignments for ASML staff, participating in career days and joint curriculum development. Read more in Sustainability statements – Social – Attractive workplace for all – Talent attraction, employee engagement and retention STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 295 General disclosures Environmental Social Governance Innovation ecosystem: How we’re managing (continued)


 
Our scope We stimulate research on breakthrough technologies that will enable the UN SDGs. We provide (in-kind) support to ESG- focused startups, scaleups and tech funds, such as HighTech XL, DeepTechXL, Make Next and several venture capital funds, providing promising startup and scaleup companies with access to highly qualified resources, technologies, licenses, supply chain partners and co-investors. The scope of our (potential) investments is global. ESG-focused research is currently focused on the Van Gogh IMPASTO project. We continue to build our ESG-focused platforms, partnerships and collaborations strategy, develop targets and collaborate with local, industry and global platforms to jointly tackle ESG-related challenges, such as with the Confederation of Netherlands Industry and Employers (VNO-NCW), SEMI’s Sustainability Advisory Council and the Semiconductor Climate Consortium (SCC). Read more in Strategic report – Our business – How we innovate Strategic support platforms for startups and scaleups Make Next Platform We founded the Make Next Platform (MNP) in 2016 to support young, innovative, high-tech scaleups, together with Huisman, Vanderlande and the non-profit Stichting Technology Rating (STR). Thales NL joined as a co-founder in 2019. MNP supports emerging high-tech ventures that have moved beyond the startup phase and are ready to expand. Through the exchange of best practices, business experience and coaching from senior corporate experts, MNP partners support scaleup companies to become global players by giving them access to their internal and external networks. HighTechXL ASML is one of the main shareholders of HighTechXL, together with other tech-minded partners such as Philips, research institute TNO, Brabantse Ontwikkelings Maatschappij and High Tech Campus Eindhoven. Through HighTechXL, we build and accelerate impactful startups by combining high-tech entrepreneurial talent and relevant technologies from reputable tech partners such as ESA, CERN, Fraunhofer, imec and TNO, with the goal of solving major global societal challenges. ASML talents join selected startups for 30% of their time for a period of three months. They define their learning goals and benefit from the development of enriched skills and mindsets through this unique entrepreneurial experience. DeepTechXL In 2022, we became a strategic investor and co-initiator in DeepTechXL Fund I, a new Dutch deep-tech fund of €85 million as a follow-up to HighTechXL. Together with other strategic investors and co-initiators – Philips, Brabantse Ontwikkelings Maatschappij, TNO, PME Pension Fund and Invest-NL – the fund provides deep-tech startups and scaleups with access to knowledge, network, technology, licenses and business development support. Why it matters: Impacts, risks and opportunities For ESG innovation we have identified the following: Impacts: Society benefiting from support for ESG-focused research, startups, scaleups, platforms and collaboration STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 296 General disclosures Environmental Social Governance Innovation ecosystem: ESG innovation


 
Targets and performance We have defined three targets in supporting startups, scaleups and tech funds: Support 14 ESG-focused scaleup companies by 2025 In 2024, we provided 5,360 hours of in-kind support, totaling €1.3 million. In addition to our prior commitments of over €20 million, in 2024, we committed a further €12.5 million in financial support. So far, 13 ESG-focused scaleups have been supported by the Make Next Platform. In 2024 we further developed the program to better suit the needs of the scaleups and to improve the impact of our support, for example by adapting our coaching programs to improve impact. Achieve more than 20% ESG-focused startups reaching ‘star level’ by 2025 HighTechXL, as a venture builder and startup accelerator, has focused since 2000 on its venture-building activity. In 2024, 14% of startups reached star level – defined as those accelerated HighTechXL startups showing a multiple of investment above 10. The target of 20% of ESG-focused startups to achieve star level by 2025 is not on track. Originally, this target was set when HighTechXL was still a startup accelerator. However, in 2020, this was transformed into a venture-building program. We have seen that it generally takes longer for these newly established startups to mature. Additionally, the focus is now on deep tech, which typically requires a longer time to develop. In 2025, revised targets to align to the updated program will be discussed. Achieve more than €4.0 billion in global R&D invested by 2025 In the context of overall innovation – which includes ESG-focused research – our goal is to achieve more than €4.0 billion spent in global R&D by 2025. In 2024, we invested €4.3 billion. In the base year 2019, we invested €2.0 billion in R&D. Read more in Strategic report – Our business – How we innovate For ESG-focused platforms, partnerships and collaboration, our ambition is to build the innovation ecosystem with partners – including industry, knowledge institutes and contractors. Our focus will be on solving key ESG challenges defined in the UN SDGs and where there is clear synergy with ASML. Solutions should drive real change in society. As our ESG sustainability innovation area is still under development, we are currently focused on collaborations with local, industry and global platforms to jointly tackle ESG challenges. Our actions and resources Below are the key activities within the ESG innovation focus areas. ESG-focused startups, scaleups and tech funds Our key actions are: • On average, 20 of our experts joining selected startup teams for 30% of their time for a period of three months as part of the HighTechXL program • Providing structural coaching and ad-hoc technical support to startup and scaleup teams to help them mature • Investing (indirectly) in ESG-focused startups, tech funds and platforms such as HighTech XL, DeepTechXL and MNP • Challenging the startup ecosystem with contests such as the ASML Young Makers Award We determine the effectiveness of these actions by following agreed performance indicators during the running time of the projects. Every quarter, the progress of all actions is tracked and reporting on indicators is updated. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 297 General disclosures Environmental Social Governance Innovation ecosystem: ESG innovation (continued) Performance indicator Unit 2024 Target Target date Status Number of ESG-focused scaleup companies supported (cumulative in numbers) # 13 14 2025 On track ò ESG-focused startups reached star level from total startups (in %) % 14% >20% 2025 Off track p R&D investments € billion €4.3bn >€4 billion 2025 On track ò


 
ESG-focused research Protecting Van Gogh’s artistic heritage Vincent van Gogh continues to inspire millions of people all over the world thanks to his revolutionary use of light and color. With our shared links to the Dutch province of Brabant and Van Gogh’s clear focus on light and innovation, ASML has always had an affinity with his work – and we are now using our expertise to help Van Gogh Brabant and the Van Gogh Museum (VGM) to protect his heritage. In June 2024, we concluded the first phase of our five-year collaboration with the VGM. Our IMPASTO project aims to assess the status of Van Gogh’s masterworks and to look at methods on how to optimally study and conserve them. The University of Amsterdam (UvA), the Rijksdienst voor Cultureel Erfgoed (RCE) and the Technical University Eindhoven (TU/e) are active partners in this collaboration – each bringing unique skills and competencies. We have defined and executed against four main pillars: • Paint degradation studies (executed mostly at VGM and RCE): The original pigments used by Van Gogh are recreated and the deterioration of the paints studied. This project will lead to two PhDs sponsored by ASML • Measurement tools (executed mostly at ASML): Several measurement tools are being developed at ASML to help learn more about the condition of Van Gogh’s paintings. An environmental sensor was made that combined a painting frame with a large collection of different sensors to measure conditions such as temperature, light intensity and humidity. This frame was hung in the museum for a few months and a large amount of data was collected, providing valuable insights for VGM on the display condition of their paintings and how these are impacted by day-to-night changes, seasons, visitor behavior and so on. The majority of this work is devoted to the development of the CAS (Condition Assessment Scanner) tool, fully developed and built by ASML. The current version can be put in front of a Van Gogh painting and will measure with micrometer resolution its height profile, giving a good view of its (mechanical) quality. Micro- fractures can be found before the human eye can see them, and measurements before and after a painting is transported can indicate potential damage inflicted that is not yet visible to the naked eye. In a second phase of the project, the CAS tool will be extended with a sensor that can make very precise measurements of the colors of the paints and show where changes have taken place – for example, due to degradation over time, or due to restoration activities such as removal or replacement of old varnish layers. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 298 General disclosures Environmental Social Governance Innovation ecosystem: ESG innovation (continued) ASML Young Makers Award ASML challenges the startup ecosystem with a contest called the ASML Young Makers Award (AYMA). It supports ambitious students or young entrepreneurs who have already started their own businesses and are working to make them more successful. We initiated this award because we too started out as a startup in 1984 and know from our own experience that support is more than welcome in such an initial phase. The AYMA is given to a promising young startup that has integrated innovation and sustainability in both product development and business operations. Young entrepreneurs are given the opportunity to present their company and entrepreneurial vision at an ASML pitch event, where a professional jury (consisting of among others ASML and Brainport Eindhoven representatives) assesses the finalists of the AYMA and questions them on – among other things – their passion, vision, perseverance and flexibility, as well as the viability and sustainability of their innovative product. From all finalists, the best three candidates were selected to pitch on stage during the Brainport entrepreneurs award ('Brainport Ondernemings Prijs, or BOP), an event sponsored by ASML. Held in May 2024, this event brought together representatives of the innovative and sustainable entrepreneurial community in the Brainport Eindhoven region. The AYMA is an honorable recognition, a prestigious award that serves as a powerful appreciation for innovative development, in which sustainability is considered a self-evident prerequisite. From the three finalists that pitched during the 2024 BOP event, the public selected a winner that will receive a coaching program and guidance from ASML specialists. The three finalists were: • FononTech – Developed a 3D-printing technology that is quite unique and provides a lot of benefits for companies that work with microchips, especially in the final assembly stage. • Senergetics – Developed a method that can prevent problems such as leaks in factory pipelines and wasted energy that cannot be detected in time using traditional methods. • TracXon – Developed an advanced and sustainable technology for printing electronics on foil that strongly reduces recycling waste as compared to traditional printed circuit board technology. Their method is also very flexible, allowing each print to be unique. During the BOP event, the public selected TracXon as the winner.


 
• Digital twin (executed mostly at ASML): Pillars one and two will deliver large amounts of data and insights into the physics and chemistry of a painting, which we aim to synthesize into a so-called ‘digital twin’ – a computer model combining all known knowledge of a painting. This is an invaluable tool to gain further insights on the status of a painting and can also help in telling the stories of Van Gogh’s masterworks. The software developed for this pillar by ASML is now at a stage that it can be used by art conservators – we have made it publicly available and see a lot of interest from the scientific art conservation community. • Data management (executed at VGM): Since the project will generate enormous amounts of data, this needs to be handled well by the owner of that data (VGM). VGM hired a data steward who will generate the necessary infrastructure to host and process a large volume of data on the Van Gogh paintings (generated with our CAS tool) for further scientific research – and for use in the digital twin. In 2024, based on the success of phase one of the Van Gogh collaboration, we have agreed the next phase in this collaboration, covering the period up to and including 2028. ESG-focused platforms, partnerships and collaborations We are working on our targets and action plans for 2025. Resources A total of €119.7 million has been committed to enabling ESG innovation, of which €12.5 million has been expensed in 2024 and reported within the Consolidated financial statements under Selling, general and administrative costs. Anticipated future expenditure amounts to €107.2 million. Looking ahead ESG-focused startups, scaleups and tech funds In 2025, we continue to identify additional ecosystem partners to further strengthen both our regional and global startup innovation ecosystem. We will develop a strategy for rolling out our efforts to other regions where ASML has a presence and can provide regional in-kind support. These additional efforts, which are the result of our growing ambition to create an impact, will generate a need to adjust our KPIs accordingly. In 2024, we have started to update our ESG innovation strategy and in 2025, will discuss more appropriate KPIs aligned with our augmented objectives. ESG-focused research Based on the success of phase one of the Van Gogh collaboration, we have agreed the next phase in this collaboration which will run until 2028. Our collaboration work with VGM aims to bring the museum toward a new phase, where science-based research on Van Gogh’s cultural heritage will become an integral part of the museum. This will be established by realizing a dedicated science lab inside the museum, where visitors can see science in action through glass walls. Part of the lab will be the CAS tool that has been partly realized in phase one of the collaboration. This CAS tool will be extended with a second measurement head, enabling it to handle color measurements, so conservation scientists can explore paint degradation at levels invisible to the human eye. They will also be able to study Van Gogh's early works made in his ‘Brabant period’, a vital area that has not yet been studied extensively. In order to make the CAS tool usable for non-engineers, the tool has to be matured and industrialized; this will also be in scope of the next phase of the collaboration. Furthermore, the digital twin will be extended with AI capabilities enabling the conservation scientists and conservators to learn much more about Van Gogh’s artwork, and to tell the stories about his life and work. We plan to start other activities in 2025 related to ESG-focused research, where ASML researchers bring in ideas that will benefit society. ESG-focused platforms, partnerships and collaborations In 2025, we will continue to develop targets and participate in ESG platforms, partnerships and collaborations that jointly realize projects for selected ESG challenges in order to achieve our ambition to expand the innovation ecosystem with industry peers and knowledge institutes. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 299 General disclosures Environmental Social Governance Innovation ecosystem: ESG innovation (continued)


 
Our scope We aim to help increase the technical talent pool that ASML, our suppliers and customers, and society at large need to solve some of society's toughest challenges. STEM students are a key target group for our talent pipeline, both on vocational and academic levels. Our talent engagement efforts are directed at students who are enrolled in colleges and universities, to support them to become thriving tech professionals. Talent Acquisition leads a talent engagement and university strategy to support our education ecosystem in the development of future engineers, scientists and technicians – including student programs that combine education with work. In addition, our Society and Community Engagement (S&CE) team engage with local communities at an even earlier stage to stimulate both boys and girls to gain an affinity with and interest in STEM. Read more in Sustainability statements – Social – Valued partner in our communities – Investing in STEM education Targets and performance There are no specific targets set for this sub- topic. Our actions and resources Below are the key activities within the 'STEM education' focus area: Building relationships with future professionals In 2024, globally we worked with 103 universities on talent and education development – offering excursions for students, internships, PhD events, teaching assignments for ASML staff, career days and joint curriculum development. Read more in Sustainability statements – Social – Attractive workplace for all – Talent attraction, employee engagement and retention Offering hands-on education for local students In 2024, we built on the projects started in 2023 – including global university partnership programs, hybrid teaching, guest lectures and curriculum development, work study programs (BBL) and scholarships – to develop these further and reach more students. For example, the work–study program in ASML manufacturing in the Netherlands has grown to more than 160 students in 2024. For this program, we work together with Summa College, a local vocational school in the Brainport Eindhoven region. The school takes care of the classes for the students, while we offer a learning experience in our factory, guided by an experienced ASML mentor. Our internship programs have also grown in most of our locations – in the US, for example, our summer internship program has grown from an intake of 222 in 2023 to 290 in 2024. Resources Read more on our FTE resources allocated to STEM talent attraction in Sustainability statements – Social – Attractive workplace for all – Talent attraction, employee engagement and retention Looking ahead We are developing our activities with universities and colleges in more strategic and long-term partnerships. We make our contributions explicit by developing partnership agreements with our most important partners. In addition, we are working with our regional ecosystems to leverage the impact of our investments for a larger ecosystem – for example, by working in projects that involve both universities and vocational schools. By working together with our educational ecosystem, we support two goals: we help educate more engineers, scientists and technicians that are needed by ASML, our suppliers and customers, and society at large; and we help students to get to know us as a potential future employer. Examples of joint projects are creating internship positions, supplying guest lecturers, organizing excursion days and co- hosting summer schools. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 300 General disclosures Environmental Social Governance Innovation ecosystem: STEM education to feed the STEM pipeline for ASML


 
Methodology on targets ESG innovation Support 14 scaleup companies by 2025 and achieve more than 20% ESG-focused startups reaching ‘star level’ by 2025 Support consists of funding provided by ASML to the scaleup, either through cash contribution or support from ASML professionals in hours, with ASML talent joining selected startups and/or scaleups for 30% of their time for a period of three months. Tracking is done by the Governmental and External Affairs team within ASML. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 301 General disclosures Environmental Social Governance Innovation ecosystem: Additional disclosures


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 302 General disclosures Environmental Social Governance Valued partner in our communities ASML and communities benefit from each other’s presence and support each other’s development ...for the planet ...for ASML Our activities have an impact that goes far beyond ASML. We have several locations, especially our headquarters, that have seen significant growth in recent years and are expected to continue to grow. While the impact can be positive and generate jobs, prosperity and innovation, it can also add pressure on housing, infrastructure and essential services in the areas affected. When our communities thrive, so do we. We believe being a valued partner to the communities around us is critical to our success. We are mindful of how our activities and growth can affect them, and strive to build a partnership that enables us to benefit from each other in the present and work together to support new development in the future. Why it matters Being a valued and trusted partner in communities is important: ...for our customers Increasing customer demand requires effective scaling up by ASML, for which ASML’s license-to-operate and growth in its communities is crucial. ...for our employees A large share of ASML’s employees are located in its communities and therefore directly affected by the attractiveness and inclusiveness of the communities. Also, ASML’s employees want to be proud of their company’s impact in its communities. ...for our suppliers A large share of ASML’s suppliers are located in its communities and therefore directly affected by the attractiveness and inclusiveness of the communities. ...for our shareholders The support of ASML’s communities is crucial for its license-to-operate and growth. When the community thrives, ASML thrives. ...for society ASML and communities benefit from each other’s presence and support each other’s development. Read more about our double materiality process and identified impacts, risks and opportunities for this theme in Sustainability statements – General disclosures – Impact, risk and opportunity management Our 2024 progress: €1,084 Amount invested per employee, including employee giving (2025 target: €2,500/employee) €3.1m Total cost of volunteering


 
Our objective At ASML, we believe we have a fundamental responsibility to be a positive contributor and valued partner to the communities in which we operate, to society and to the world at large. We aim to share the benefits of our prosperity and create value, while mitigating the challenges of our dynamic growth. Attractive communities Inclusive communities We focus on initiatives to create attractive communities, mitigate the negative impacts of our growth and enhance overall quality of life in the main locations in which we operate. We aim to unlock people’s potential, help them realize their ambitions and ultimately create equal opportunities for all. Investing in STEM education Employee giving We are committed to boosting STEM education for children through initiatives that provide them with the relevant skills for their future and that aim to expand the STEM talent pool society needs. Through our global Employee Giving program, we encourage employees to become involved in their local communities by donating their time, skills and resources to charitable organizations. Specific roles and responsibilities for this topic In 2023, we created a Community Partnership Program (CPP) team to oversee our contributions to both society and local communities. The CPP governs all our community investments, ensuring ASML and our communities benefit from each other’s presence and support each other’s development. The Head of Society & Community Engagement (S&CE) is the most senior role involved in community engagement and is the action owner for each of our material sub-topics. Performance against our ongoing targets is monitored at least quarterly. The governing body reviews and approves proposed projects within the areas linked to our material impacts, risks and opportunities, and expenditure in each area is carefully tracked to ensure we are on track to meet our ambitions. The resources devoted to S&CE primarily comprise 24 FTEs. The total estimated cost of €3.4 million relating to FTEs is included within the Consolidated financial statements under Personnel expenses. The financial resources devoted are outlined in each focus area. Read more about roles and responsibilities in Sustainability statements - General disclosures - ESG sustainability governance STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 303 General disclosures Environmental Social Governance Valued partner in our communities: How we’re managing


 
Our approach We work in partnership with our communities to significantly invest in the areas in which we can make the most meaningful impact, supporting our employee community to feel proud of ASML’s contribution and place in the community. Moreover, we increase the STEM talent pipeline that enables future generations to create tech for good and we collaborate with partners in our innovation ecosystem to fuel the innovation. In collaboration our CPP team focus on four areas: Attractive communities Mitigate the negative impact of ASML's growth and contribute to improvements and positive experiences in the community. Inclusive communities Remove obstacles that hold back disadvantaged community members from reaching their potential and unlock the potential of, and create equal opportunities for, students. STEM education Help increase the STEM/technical talent pool that society needs to solve some of its key challenges. ESG innovation Support projects with great societal returns with our knowledge and expertise, and invest in ideation, startups and scaleups in our communities to retain a diverse innovation ecosystem that is attractive to the world's top technical talent. Read more in Sustainability statements – Social – Innovation ecosystem Within each of the above focus areas, we and our stakeholders have identified and formed 17 programs that follow from our double materiality assessment (DMA). In addition, based on structural community stakeholder feedback, we determined a fifth focus area, to support our employees in their efforts to give back to their community in their areas of interest. Via the Employee Giving program, we match our employee donations and their volunteering initiatives. We commit to matching donations of up to €10,000 per employee per year. Our global CPP investment goal is €2,500 per employee by 2025. The valued partnership policy applies worldwide, to all our employees and partners across the value chain. We report publicly on key elements of our approach in our Sustainability statements. Targets and performance Performance indicator Unit 2024 Target Target date Status Community Partnership Program: Amount invested per employee €/employee €922 2,000 €/ employee 2025 Off track p Invested to ensure attractive communities €/employee €257 Invested to ensure inclusive communities €/employee €189 Invested to ensure STEM education €/employee €177 Invested to realize ESG innovation €/employee €299 Employee giving €/employee €162 500 €/ employee 2025 Off track p Community Partnership Program: Amount invested per employee, including employee giving €/employee €1,084 2,500 €/ employee 2025 Off track p In 2024, the total amount of cash and in-kind support was approximately €45.2 million – which equates to €1,084 per employee. We are dependent on the finalization of new project proposals in the pipeline across all four focus areas to enable us to meet our €2,500 per employee by 2025 target. Our current expectation is that we will approximately double our society and community investments in 2025 from 2024 and that we will just fall short of our target which we now expect to reach in 2026. Through employee giving, we contributed €162 per employee against our ambitious target of contributing €500 per employee by 2025. We will focus our efforts in 2025 on communication and campaigns such as the Global Volunteering month to incentivize participation in order get closer to our 2025 target. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 304 General disclosures Environmental Social Governance Valued partner in our communities: How we’re managing (continued)


 
We have a range of programs within our valued partner focus areas aligned to achieving our ambitions: Mitigating and improving our impact to create attractive communities To mitigate the negative impacts of our growth and contribute to improvements and positive experience in the community, we have the following programs: Affordable housing: We aim to mitigate the negative effects of our impact on the local housing market by contributing to more affordable housing for local residents within low-to-mid-income groups in Brainport Eindhoven by supporting new construction in collaboration with housing corporations, municipalities and real estate developers. Steps include: • Providing financial instruments: Accelerating affordable housing construction that does not distort the housing market • Other measures: We are always investigating avenues to alleviate pressure on the housing market – for example, improved infrastructure and company policies Green communities: We seek to be a good corporate citizen by contributing to livable local communities. We aim to prevent the loss of biodiversity and stop deforestation as a result of our operations by preserving, safeguarding, restoring and enhancing landscapes. Steps include: • Reducing and decarbonizing energy use by supporting the community in financing investments to reduce and/or decarbonize energy use • Promoting nature and green spaces by developing biodiversity enhancement and compensating for any loss of greenery driven by ASML • Improving the quality of green spaces by contributing to facilities in and around green spaces and assisting in their maintenance Sustainable mobility: We aim to mitigate our negative effects on mobility in the regions in which we operate and promote the use of sustainable mobility options. Steps include: • Creating and improving mobility infrastructure – Participating in public – private initiatives for ASML-specific and community-wide sustainable mobility infrastructure • Providing sustainable commuting options: enabling and incentivizing more sustainable options in commuting to and from our sites • Offering sustainable mobility options in other journeys – stimulating the use of shared mobility options and supporting safety improvements to biking in the region Attractive sports, arts and music: If we are to build attractive communities, sports, arts and music are key. To compensate for our negative impact on existing local offerings, we have identified key areas to work on: • Landmark initiatives: Funding landmark events, organizations and locations that are highly valued by the community • Improving existing offers: Providing funds to improve, expand and increase the variety of local sports, arts and music offerings • Upfront investment for new initiatives: Providing funds to improve and support upfront investment to organizations that can be self-sustaining afterward Cultural integration: Foster positive relationships with ASML’s neighbors and support the integration of international employees through local community projects and initiatives in Veldhoven. We are constantly striving to strengthen the bonds between cultures. To create more positive interactions, we have identified the following eligible areas for us to work on. • Improving relationships with direct neighbors: Implementing projects with stakeholders in the direct vicinity of our factories and offices • Better integrating international employees: Actioning employee- integration projects for both international and local employees. This includes helping internationals integrate into the local area and culture by: providing onboarding and support networks for newcomers and continued support while in the country; promoting understanding of cultural norms and language (including language courses for employees and spouses); and creating opportunities for integrating and participating in the local community. We also aim to show the added value of internationals to the local area by supporting the local community through volunteering, creating win-win situations for the local community. We monitor the effectiveness of our Attractive communities programs through structural community stakeholder feedback and by tracking a set of pre-defined performance indicators such as number of affordable homes supported. Removing the obstacles to create inclusive communities To remove barriers that hold back disadvantaged community members and create equal opportunities, we have developed the following program strategies: Access to basic needs: To build attractive and inclusive communities, everyone must be able to participate. That means contributing to access to basic needs, including food, shelter, clothes and healthcare-adjacent support: • Food: Providing support and volunteers to regional initiatives tackling food insecurity and hunger • Shelter: Supporting shelter initiatives and recruiting staff or volunteers • Clothing: Providing support to local clothing initiatives • Healthcare: Providing support to regional healthcare-adjacent initiatives Access to employment: Increase quality employment by supporting unemployed community members through training and coaching, helping them find suitable jobs and reach their goals. Steps include: • Reducing the misalignment of skills: Providing skills training and aiding people in acquiring the relevant skills for employment STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 305 General disclosures Environmental Social Governance Valued partner in our communities: How we’re managing (continued) Levers for action


 
• Improving navigation of the labor market: Setting up training, support and guidance on labor market navigation Access to sports, arts and music: Contributing to the accessibility of local offerings of sports, arts and music: • Reducing financial barriers: Providing support to both individuals and families as well as to clubs and organizations so they can offer free entry • Reducing practical barriers: Working with clubs and organizations to address transport or logistical conditions • Reducing accessibility barriers: Providing ongoing means to sports and culture clubs to provide expanded options for people with health conditions or impairments Equal opportunities through education for: students across the neurodiversity spectrum, students with a different native language and students from disadvantaged backgrounds: We see education as the ‘great equalizer’, creating opportunities to help children from every background to reach their potential. To achieve this, we are working on: • Helping neurodiverse students: Enabling teachers and schools to accommodate the needs of neurodiverse students • Assisting non-native speakers: Providing multilingual resources to educational institutions, contributing to language- neutral testing, supporting teachers and offering international parents detailed information on the education system • Coursework support: Using employees to improve education quality, help with schoolwork and support with any other skills needed for successful learning • Educational pathway guidance: Providing children, parents and caretakers with the support, guidance and perspective they need to choose their path with confidence • Bridging gaps between education and the labor market: Providing financial support in preparing for and navigating the labor market • Specialized student coaching: Providing easy access to in-school specialized support by, for example, supporting walk-in hours • Disadvantaged backgrounds: Providing students with equal opportunities to allow them to thrive in their educational environment and subsequent careers We monitor the effectiveness of our inclusive communities programs through structural community stakeholder feedback and by tracking a set of pre-defined performance indicators such as number of schools supported. Investing in STEM education To increase the STEM talent pool needed to solve some of society’s key challenges, we have developed the following program strategies to stimulate STEM education at the right level: STEM at age group 4–12 years: Contributing to stimulating STEM education in primary schools with ASML Junior Academy and Experience Center visits. STEM at age group 12–18 years: Contributing to stimulating STEM education in primary schools with teaching packages and Night of the Nerds. STEM at age group 18–24 years: Stimulating STEM education in tertiary education through collaborations with vocational, bachelor and master's programs. We invest in STEM education through events, guest lessons and visits to ASML premises in Veldhoven, to spark children’s awareness, interest and joy in STEM-related themes and topics in the Netherlands, the US and Taiwan. We monitor the effectiveness of our STEM programs through structural stakeholder feedback and by tracking a set of pre- defined performance indicators such as the number of children reached. We have identified the following community-related material sub-topics: • Affordable housing • Sustainable mobility • Cultural integration • Access to talent Human rights impacts We support the guidelines laid down in the UN Guiding Principles on Business and Human Rights and are committed to the International Bill of Human Rights. The provisions of our Human Rights Policy are derived from key international human rights standards including the ILO Declaration on Fundamental Principles and Rights at Work and the UN Declaration of Human Rights, the UN Global Compact, the principles specified in the OECD Guidelines for Multinational Enterprises, as well as other relevant standards such as the UN Women’s Empowerment Principles, UNICEF’s Children’s Rights and Business Principles and the UN International Convention on the Protection of the Rights of all Migrant Workers and Members of Their Families. Our Human Rights Policy is a cornerstone of our ESG strategy; it also sets out ASML’s roadmap and initiatives toward effectively and responsibly managing areas of human rights impacts in the ecosystem where ASML operates. Read more in Strategic report – Corporate conduct – Respecting human rights STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 306 General disclosures Environmental Social Governance Valued partner in our communities: How we’re managing (continued) Levers for action


 
Process for engaging Our engagement channels are made publicly available on our website, including local phone numbers for all our locations, email addresses and our external Speak Up Service. All channels are governed by our Speak Up and Non-retaliation Policy to encourage residents, in every community where we operate, or anyone affected by ASML, to openly communicate and share ideas and concerns with ASML, without fear of discrimination, retaliation, intimidation or harassment. Read more about the channels of society engagement in Strategic report – Our business – Engaged stakeholders We use insights gathered from these channels to inform our valued partner in our communities approach at all stages, including impact assessment, policy development, target-setting and program development. Our Head of S&CE has operational responsibility for ensuring this engagement happens and that the results inform our approach. We utilize external surveys and stakeholder feedback to assess the effectiveness of, and trust in, our overall engagement with our affected communities. Through our local outreach program, those needing specific assistance can apply for support. This allows us to understand the perspective of those groups that require particular consideration within our approach or specialized assistance through the foundations we partner with, such as equal opportunities for women, underserved children, reducing inequality through education for girls in China, support for Ukraine refugees, and improving the inclusion of people of color, neurodivergent individuals, less-privileged people and the LGBTQIA+ community. Process for remediation To make a positive social contribution, we strive to listen to every concern we receive, as well as taking a broader responsibility for addressing our negative impacts on affected communities. This applies to both our smaller sites, where we are less significant in relation to the size of the community, and larger sites where we have a much higher profile. Ultimately, we want to ensure our overall impact is positive – and that we continue to add value and minimize our detrimental effects. We want to be a responsible corporate citizen that contributes to the community in a way our employees can be proud of. To achieve that, we have implemented processes to ensure: • Issues raised from all sources are followed up and validated, preferably in person. • During formal ‘participation meetings’, all stakeholders investigate the issues and participate in potential solutions. Decisions on actual solutions are taken between ASML, local government and neighbors. Based on program strategy, decisions are formalized in minutes of meetings and made public – in line with new Dutch legislation, ‘Omgevingswet’. • Stakeholder meetings are used to track progress and monitor pre-defined KPIs as well as to close issues, all recorded in minutes. • Issues are closed in meetings and recorded in the minutes. Read more about our process for remediating matters raised through our Speak Up Service in Sustainability statements – Governance – ESG integrated governance – Business ethics and Code of Conduct STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 307 General disclosures Environmental Social Governance Valued partner in our communities: How we’re managing (continued)


 
Supporting communities through our global employee giving program Through our global Employee Giving program, we encourage employees to become involved in their local communities by donating their time, skills and resources to charitable organizations. Through employee giving, we contributed €162 per employee against our target of contributing €500 per employee by 2025. 2024 marked the second full year of our Matching Gifts program, which gives our employees a voice in our philanthropic contributions. For eligible employees globally, we matched donations to non- profit organizations up to €10,000 per employee, per calendar year – an increase from 2023, when we matched up to €1,000 per employee. In 2024, we supported more than 2,200 non-profit organizations through matching gifts. Our employees are also entitled to eight hours of volunteering time off per year. Our employees contributed a total of 41,368 volunteering hours (2023: 30,450) to community involvement. The total cost of volunteering – part of employee giving – increased to €3.1m in 2024 (2023: €2.2m). To celebrate our 40th anniversary, we also renewed our commitment to be a valued partner in our communities by focusing on employee giving. We encouraged everyone to participate in our global volunteering program through our '40 days of volunteering' initiative, during which we aimed to donate 4,000 hours of our time to communities worldwide – and we exceeded this number by reaching more than 5,000 hours through this initiative in 2024. Earlier in 2024, we offered all employees a €37 credit to donate to a non-profit of their choice, and we also ran a double gift-matching campaign for 40 days, which resulted in more than €2 million in total donations to non-profits around the world. In September 2024, CEO Christophe Fouquet visited the office of ASML in San Jose in the US, to experience the partnership with Second Harvest of Silicon Valley, a food bank that provides food to an average of about 500,000 people every month in the Santa Clara and San Mateo counties – including more than 135,000 children and 120,000 senior citizens. ASML has committed to supporting Second Harvest with $1 million a year for five years, which goes toward building a new food distribution facility. We also donate $250,000 a year to their operations, enabling them to provide free, nutritious groceries. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 308 General disclosures Environmental Social Governance Valued partner in our communities: Supporting causes close to the hearts of our employees Small acts can create a big impact: that’s the spirit in which thousands of ASML colleagues volunteer their time every year with organizations that make a positive contribution to our communities.


 
Our scope We have a range of initiatives to create attractive communities, mitigate the negative impacts of our growth and enhance overall quality of life in every community where we operate. Within this sub-topic we focus on: • Affordable housing • Green communities • Sustainable mobility • Attractive sports, arts and music • Cultural integration Why it matters: Impacts, risks and opportunities For attractive communities we have identified the following: Impacts: Pressure on availability of affordable housing in Veldhoven due to demand from employees Car congestion and pressure on regional infrastructure due to employee commuting Pressure on social cohesion in Veldhoven local community due to a more diverse local population including ASML expats Risks and opportunities: Failure to create an attractive community for future employees, could impact our ability to attract talent Failure to create an attractive community for future talent, could impact our ability to effectively manage our local supply chain output Addressing adverse reactions from local communities could impact our ability to effectively manage our business Adverse reactions from local communities could impact our ability to grow in Veldhoven Read more in Strategic report – Performance and risk – Risk Targets and performance Performance indicator Unit 2024 Target Target date Status Amount invested to ensure attractive communities €/employee €257 n/a 2025 Off track p Of the total CPP investment, €10.7 million was invested in programs pursuant to creating attractive communities in 2024. This represents €257 per employee and contributed to our overarching CPP target of €2,000 per employee by 2025. Read more in Sustainability statements – Social – Valued partner in our communities – How we're managing Our actions and resources In order to address our material impacts, we have implemented the following key programs: Contributing to affordable housing for local residents By the end of 2024, in collaboration with private and (semi-) public partners, we supported the construction of rent- controlled, affordable housing for local residents (non-ASML employees) with low- mid incomes within the Brainport Eindhoven region in the Netherlands. We expect, by 2025, 130 affordable homes to be built as part of the Springplank project, and by 2026, 249 affordable homes as part of the TAC project, 104 affordable homes with the Zuidrand project, and 237 affordable homes (with a total of 305 homes) as part of the Djept project. By 2029, we expect a further 276 homes as part of the Sierlijke Dames project, with at least 194 in the affordable housing category and, by 2030, 400 homes under the Humperdincklaan project, with at least 372 in the affordable housing category. We are committed to paying compensation, under certain conditions, to both Springplank and TAC for possible losses on the construction project. To prevent the support from distorting the market, the compensation will only be paid out if the project has been finalized and is loss- making. However, if the gross profit margin on the project exceeds certain thresholds, Durendael (a development combination of BPD and Van Santvoort) and Focus on TAC have agreed to donate (a portion of the) surplus profit to the Brainport Eindhoven Partners Foundation. For the projects Djept, Humperdinklaan, Sierlijke Dames and Zuidrand, ASML will contribute upon finalization an agreed amount. Without ASML de-risking or limiting the loss exposure of these projects, construction of these affordable homes would not commence. Our aim is to support the construction of 25,000 affordable homes by 2040. With this, the company aims to make an important contribution to solving the shortage of affordable housing in the region. The primary challenge is to identify and select the most suitable affordable housing projects that are truly in need of financial support in order to continue, and to structure and fund projects in such a way that we minimize any further disturbance to the housing market. Our goal STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 309 General disclosures Environmental Social Governance Valued partner in our communities: Attractive communities


 
for 2024 was to support the construction of 1,500 affordable homes. By the end of 2024, ASML had approved projects supporting the construction of 1,286 affordable homes. Based on existing initiatives, the first 483 affordable homes are expect to be delivered to low- to mid-income earners in the community by 2025 and 2026. Investing in sustainable mobility In 2024, we collaborated to co-finance a package of infrastructure measures in the Brainport Eindhoven region. Via a public– private partnership program, ‘MIRT 1’, we contribute by investing in seven key infrastructure initiatives to promote accessibility, safety and spatial planning – including investments in the central bus and railway station, bus and bicycle lanes, and other infrastructure improvements. The overall financing is expected to be €1.6 billion over 10 years – representing a combined commitment by the Dutch central government, the province and local municipalities, and participating companies in the private sector such as ASML. Contributions to ‘MIRT 1’ toward the sustainable mobility (infrastructure) is supplementary to the 'Beethoven' project with the Dutch central government. Encouraging social cohesion and cultural integration Our growth has a high impact on the Brainport Eindhoven local community and we take responsibility for creating social cohesion in the region by facilitating positive interactions between cultures. In 2024, we introduced the following key activities to bring together local and international members of the Brainport Eindhoven community: ASML x Brabant C Over the course of 2024 and 2025, we are investing approximately €2 million in the new ASML x Brabant C cultural partnership to facilitate an expanded and inclusive cultural offering in the region. The partnership offers professional culture-makers an opportunity to develop new initiatives accessible to everyone. Some of these initiatives include the Storioni Festival, Glow, Stichting Wildpark, Crafts Film Festival, Next Nature Networks and Dutch Silent Film Festival. De Schalm Theatre, Veldhoven In our new partnership with Theater de Schalm, the new exciting Veldhoven events will emphasize the international character of Veldhoven. We aim to make theater visits more accessible by opening the venue’s doors to a broader and younger audience. All children up to 12 years old can attend youth and family performances for free. Over the coming years, we will scale up our collaboration to create more social cohesion across people from all age groups and backgrounds. Our goal for this ongoing initiative is to reach everyone in the Veldhoven community through multiple cultural initiatives throughout the year. Buddy system for internationals In 2024, to help create more interactions between locals and internationals, our pilot project with Cordaad links 20 international families with a local ‘buddy’. The buddies help the families to integrate, and answer the day-to-day questions they might have. Read more about our initiative on inclusive education to help children of our international hires integrate into the Dutch schooling system in Sustainability statements – Social – Valued partner in our communities – Inclusive communities Creating solidarity through sports, arts and music We continued our support of the following initiatives that mitigate the negative impacts of our growth and further contribute to social cohesion in the community: Effenaar music venue, Eindhoven We strengthened our collaboration with the Effenaar, with the aim of bringing more popular and international artists to Eindhoven in the coming years. As well as concerts at the venue, we expanded the annual Hit The City music festival held in various locations around the city. In 2024, the line-up consisted of more than 100 acts and attracted around 31,500 people. ASML Summer Games (ASML Zomerspelen) Over 1,200 children and teenagers joined the first edition of the ASML Summer Games in 2024. Organized with BrabantSport and many local partners, to increase access and connect young people through sports, the program offered 24 different free sports clinics to local 6-to-18 year-olds, targeting families with fewer resources as well as young people with different care needs. We involved 34 sports clubs and provided 60 children with sports gear for the clinics. On average, each participant discovered five new sports. Our partners are linking families to the right resources to ensure that the children are given every opportunity, even if there is not enough money at home. Partnership with Muziekgebouw Eindhoven We have a long-term partnership with the Muziekgebouw Eindhoven, the main concert hall in the city. We invite the best musical and artistic talents from among our own employees to take to the stage at the venue once a year at our ASML on Stage event. Other activities we’ve been involved in: ASML Marathon Eindhoven: The 40th edition of the ASML Marathon Eindhoven, with 38,000 runners from around the world. Over 3,300 ASML employees took part in the various races. As the title partner, we covered the entry costs for 500 local residents with limited resources, as well as for all our employee runners. Van Gogh museum: In Brabant, we increased access to the Van Gogh Village Museum in Nuenen by making entry free for all children under 18. GLOW Light Art Festival: We were a partner and sponsor of the annual GLOW Light Art in Eindhoven, displaying the works of famous national and international light artists throughout the city center. In 2024, around several hundred thousand people visited the festival. Drop of Light exhibit and experience lab: At the 2024 Taiwan Lantern Festival, we presented our ‘Drop of Light’ exhibit, as well as an experience lab to learn more about STEM concepts. The festival, held in Tainan, welcomed around 150,000 visitors. The exhibit, produced by artist Gijs van Bon together with 130 ASML engineers, was inspired by the light source inside our EUV lithography systems. Partnership with PSV: We sponsor PSV Eindhoven football club, together with other regional businesses, jointly promoting 'Brainport Eindhoven' on the players' shirts. In addition, we have enabled access to matches for thousands of underserved local residents through our ASML Community Lounge at the stadium. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 310 General disclosures Environmental Social Governance Valued partner in our communities: Attractive communities (continued)


 
Contributing to green communities We contributed to the decarbonization of energy use and investing in nature within communities through the following activities: Creating more green spaces (NL) The ‘Trees for all’ partnership was launched in 2024 with the aim of planting 455,000 trees in the Brainport Eindhoven region in the next three years – the equivalent of 310 football fields. Ambler Farm (US) Ambler Farm is a community farm dedicated to promoting reconnection to the natural world and year-round environmental sustainability. The educational gardens, animal habitats and outdoor classroom space at Ambler Farm – which will be rebuilt and enhanced with ASML's support – are visited by over 17,000 visitors annually. This grant will provide 14,830 local young people with environmental education and improved access to green space. In addition to funding, ASML volunteers are an essential component, with more than 1,500 volunteer hours served with Ambler Farm in 2024. Resources A total of €92.2 million has been committed to building attractive communities, of which €10.7 million has been expensed in the current year and reported within the Consolidated financial statements under Selling, general and administrative costs. Anticipated future expenditure amounts to €81.5 million. Looking ahead In 2025, we will continue with the execution of our existing initiatives and develop new projects to further expand our investments in creating attractive communities in the vicinity of our larger sites. The primary focus will be on projects supporting affordable housing in the Brainport Eindhoven region, sustainable mobility, attractive sports, and arts and music, which we will develop and execute with our partners in the communities. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 311 General disclosures Environmental Social Governance Valued partner in our communities: Attractive communities (continued)


 
Our scope Inclusivity begins by removing obstacles that are holding back more disadvantaged members of communities where we operate. Within this sub-topic, we focus on access to: • Basic needs • Employment • Sports, arts and music • Equal opportunities through education Why it matters: Impacts, risks and opportunities For inclusive communities we have identified the following: Impacts: Pressure on Veldhoven's regional talent pipeline impacting local companies due to ASML's demand for talent Pressure on social cohesion in Veldhoven local community due to a more diverse local population including ASML expats Risks and opportunities: Failure to create an attractive community for future talent, could impact our ability to effectively manage our local supply chain output Failure to create an attractive community for future employees, could impact our ability to attract talent Read more in Strategic report – Performance and risk – Risk Targets and performance Of the total CPP investment, €7.9 million was invested in programs pursuant to creating inclusive communities in 2024. This represents €189 per employee and contributed to our overarching CPP target of €2,000 per employee by 2025. Read more in Sustainability statements – Social – Valued partner in our communities – How we're managing Our actions and resources Below are the key activities focused on increasing access to employment while decreasing pressures felt by local companies as a result of the shortage of talent. Improving access to employment through Brace In August 2024, we launched our Brace program, with the aim of improving access to employment for young people and migrants in the Brainport Eindhoven region focusing on these groups to deliver the biggest societal impact. We partner with the BuzinezzClub Foundation (BCF), a charity that helps people succeed in the Dutch labor market through free multiyear career coaching. Our objective is to support 3,500 vulnerable youth and migrants over the next three years to make better career choices and develop the right skills and network to successfully maintain their actions. We expect to guide 60% of them (2,100) into a job, education, entrepreneurship or a combination. Breaking down the language barrier The 'Labor Participation Boost' program aims to increase chances in the labor market for involuntarily unemployed, non-native- speaking community members who find that language is a barrier to finding vacancies, applying for and being eligible for jobs. We partner with Taalkracht, a non-profit organization specialized in strengthening language skills for adults. Our objective is to support 800 migrants to improve their opportunities by furthering their Dutch language skills and guide 25% to work or further education. The program began in December 2024 and will stretch over 40 weeks and 120 lesson hours. Below are the key activities focused on increasing access to education and integration of international students: Inclusive education We want to unlock the potential of – and create equal opportunities for – all students in the Brainport Eindhoven region. Our inclusive education program is focused on improving children's perspectives, confidence and skills, and facilitating the integration of international students and neurodiverse children in the region. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 312 General disclosures Environmental Social Governance Valued partner in our communities: Inclusive communities Performance indicator Unit 2024 Target Target date Status Amount invested to ensure inclusive communities €/employee €189 n/a 2025 Off track p


 
Activities include: • Language and library project (0–12 year- olds): This initiative focuses on the increasing number of international children enrolling in the educational system of the Brainport Eindhoven region. To adapt to this changing population, schools in the region are focusing on making the children feel at home to help enhance their learning and growth. To support the development of language skills, ASML co-develops and co-funds the '@home in languages' project. Research shows that children learn the Dutch language faster if they are also allowed to use their native language at school. The ambition is to make multilingual books available in over 100 schools, libraries and childcare facilities in the region. The project educates teachers on how to use these books in the classroom, and includes an expertise center for multilingual education. So far, we made multilingual educational materials available in 48 schools, libraries and childcare facilities. • International teaching academy (12–18 year-olds): This initiative focuses on amplifying the skills of high school teachers and educational staff that work in an increasingly international environment. The aim is to support the schools in helping international students settle into the Brainport Eindhoven region. ASML co- develops and co-funds the International Teaching Academy, and activities include: – An international coordinator at the schools – Training for over a thousand teachers on multilingual and multicultural teaching – Collaboration on training and schooling across main educational institutes • Inclusive education support (0–12 year- olds): We offer neurodiverse and multilingual children in the Brainport Eindhoven region the opportunity to optimize the use of their talents through the inclusive education support program. We co-developed and co-fund the project, which includes: – Training and workshops for over 1,000 teachers, educational professionals and international parents – Enabling international educational psychologists in school and childcare systems – so far, 45 professionals have been recruited and 25 languages have been covered – Improving information for international parents with questions about education and childcare options, through an online and offline support center Resources A total of €37.1 million has been committed to building inclusive communities, of which €7.9 million has been expensed in the current year and reported within the Consolidated financial statements under Selling, general and administrative costs. Anticipated future expenditure amounts to €28.6 million. Looking ahead In 2025 and beyond, together with local partners and experts, we will continue to execute and develop projects for children from a disadvantaged background, international children and neurodivergent children. To reach our ambition, we will expand the current number of institutions and children involved. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 313 General disclosures Environmental Social Governance Valued partner in our communities: Inclusive communities (continued) Other activities we’ve been involved in: Boys & Girls Clubs (US) In 2023, we expanded our partnership with the Boys & Girls Clubs of Silicon Valley to support their summer enrichment and college readiness programs through 2025. Their summer programs offer lower- income students opportunities to participate in sports, arts and wellness- focused camps, while the college readiness program provides leadership, job readiness and financial literacy skills, encouraging academic and career- oriented goals. Our partnerships in Silicon Valley, San Diego and Bridgeport, Connecticut will also continue to grow with STEM-focused programming and support. ASML School Football Tournament (NL) In collaboration with youth organization Dynamo Jeugdwerk and the FC Eindhoven Foundation, we organized an ASML school football tournament in 2024 for all primary and secondary schools in Eindhoven and the Kempen region. To eliminate financial barriers, participation was free for all youngsters. A total of 335 teams with more than 3,000 participants competed from across the region. As of 2025, we expect to scale and support 4,000 children per year in the Brainport Eindhoven area. Weekend and after-school programs (NL) Students from disadvantaged backgrounds often face educational and career development challenges due to inequality of opportunity. They may fall behind in school due to a lack of self- confidence, role models or perspective, or limited support and guidance. Our partnership with weekend schools focuses on giving children support and guidance, as well as building their confidence, skills and networks. These programs, which typically start when children are 10 or 11 years old, take place on Sundays or after school. We provide financial support to help scale these proven programs in the region.


 
Our scope We remain committed to boosting STEM education for children and young people through initiatives that provide them with relevant skills for their future and that aim to expand the STEM and technical talent pool society needs. By investing in STEM initiatives, we hope to make a positive impact on local communities through helping to increase the STEM and technical talent pool, and providing both children and young people with the relevant skills they need for the future job market. We focus our efforts in the Netherlands, the US and Taiwan. Why it matters: Impacts, risks and opportunities For STEM we have identified the following: Impacts: Pressure on Veldhoven's regional talent pipeline impacting local Risks and opportunities: Failure to create an attractive community for future talent, impacting our ability to effectively manage our Read more in Strategic report – Performance and risk – Risk Targets and performance Of the total CPP investment, €7.4 million was invested in STEM education in 2024. This represents €177 per employee and contributed to our overarching CPP target of €2,000 per employee by 2025. By 2025, we plan to reach over 200,000 children within a 35 km radius of Veldhoven in the Netherlands, in Wilton in the US and in Taiwan. The overall goal is to stimulate STEM education and create a new generation of talent – one that can drive future innovation not only within ASML itself, but in the local and regional communities in which we have a foothold. Our actions and resources Inspiring children to choose STEM We believe that creating awareness and interest in STEM at a young age translates into increased consideration of STEM- related education and careers later in life. We play our role by supporting the improvement and attractiveness of STEM education, showcasing attractive job prospects and role models, and by strengthening infrastructure and collaboration in the region. We invest in STEM projects, events, guest lessons at schools and visits to ASML premises in Veldhoven. In 2024, our primary STEM initiatives focused on partnerships and events in the Netherlands and the US. We have experienced significant growth in the number of children we have reached through STEM education, particularly with the expansion this year of the ASML Junior Academy – which has now reached more than 90,000 children. The Netherlands The Junior Academy provides a dedicated program of activities within the mainstream education system, focused on all children in primary school (4–12 years old), regardless of a pre-existing interest in STEM. The academy provides primary schools with engaging structural STEM lessons for all children, six times per school year for at least three school years, fully funded by ASML. We drive and fund the Academy through a partnership with Mad Science – sparking children's awareness, interest and joy in STEM-related themes and topics. In 2024 we also supported and participated in local STEM activities such as the High Tech Discovery Tour, Night of the Nerds, Tech fundays and the Crafted Festival for pre-vocational, secondary and vocational education (VMBO, HAVO/VWO and MBO). An additional STEM program – STEMup – was launched in 2024 for students in their first and second year of secondary school in the Veldhoven region. Working with a STEM coach, schools can choose one of four STEM classes. The goal of the program is to engage students in STEM activity from a societal perspective, and increase the interest in and the perceived relevance of STEM. In addition, in 2024, we also continued and expanded our investment in FIRST Lego League and FIRST Tech Challenge. With this support, ASML ensures the prolongation and expansion of competitions for the finals and various semifinals of these Robotics challenges. US In the US, we expanded our support of STEM programs at local Boys & Girls Clubs. We continued funding the Boys & Girls Clubs of Silicon Valley’s SciTech program, reaching 4,627 students across 33 after-school locations in 2024. In Bridgeport, Connecticut, we funded materials and supplies for the Madison Avenue Clubhouse’s STEM Lab and Makerspace, benefiting approximately 720 local young people. In San Diego, we supported the Boys & Girls Clubs of Greater San Diego’s STEM program, facilitating weekly STEM modules, staff training, STEM- related summer field trips and computer lab upgrades at six local clubhouses. In 2023 we expanded the Junior Academy to Connecticut, investing $2.2 million over three years in partnership with Mad Science to provide free interactive technology education lessons to children aged 4 to 12 in Wilton and surrounding communities. This initiative aims to reach over 13,000 children in the US with six experiential technology lessons. At the end of 2024, the Academy has onboarded 30 schools in Fairfield County, reaching 8,281 students. Employee engagement has been strong, with 114 employees trained by Mad Science and 32 employees actively participating in teaching lessons. Taiwan In 2023 we started a partnership with Junyi Academy and Teach for Taiwan to launch the 'Train the STEM Trainers' project. So far we have successfully trained over 400 STEM promoters (including teachers, employees and university students) and, with the mature remote learning approach in Taiwan, our STEM content has reached over 50,000 students since 2023. In addition to the efforts from community partners, over 300 ASML employees were also trained as STEM promoters, and we introduced the ‘Masterminds and Masterpieces’ curriculum to underserved schools via the Hope Reading project with the Commonwealth Magazine Education Foundation. Fifteen rural schools were able to participate in an international STEM program facilitated by ASML’s volunteers. Read more in Sustainability statements – Social – Valued partner in our communities – Supporting causes close to the hearts of our employees STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 314 General disclosures Environmental Social Governance Valued partner in our communities: Investing in STEM education Performance indicator Unit 2024 Target Target date Status Amount invested for STEM education €/employee €177 n/a 2025 Off track p


 
Resources A total of €31.7 million has been committed to enabling STEM education, of which €7.4 million has been expensed in the current year and reported within the Consolidated financial statements under Selling, general and administrative costs. Anticipated future expenditure amounts to €24.3 million. Looking ahead We will continue to scale the ASML Junior Academy, including adding more locations, such as additional cities where we operate in the US. We will continue to expand the STEMup program in line with the project ambition. In addition to projects provided directly to children and youngsters, we also aim to support initiatives to aid teachers, enhance (evidence-based) learning and effective collaboration in the STEM domain. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 315 General disclosures Environmental Social Governance Valued partner in our communities: Investing in STEM education (continued)


 
Methodology on targets Achieve an investment of 2,500 per employee, including employee giving, by 2025 Targets are established by the ESG cross- functional table meetings, including key stakeholder representatives from the different governance bodies. The €2,500 per ASML employee figure was established after an external benchmark was conducted to set direction for the budget, including the perspective of Giving in Numbers – a comprehensive public benchmark. The division over the four focus areas was established by the CPP team. This initiative targets communities impacted by our operations, with a primary focus on our larger sites in Brainport Eindhoven, Wilton, Silicon Valley, San Diego and Hsinchu. We are also looking to align our approach with the UN SDGs, particularly SDGs 4 (Quality education) and 11 (Sustainable cities and communities). The target-setting process involved extensive discussions within the CPP team and alignment with all relevant stakeholders, as detailed in the Roles and responsibilities section of our policy. This collaboration ensures that our goals reflect the needs and expectations of our valued partners. Initially, our performance was measured based on the total euros invested – but, due to our rapid growth, we have shifted to measuring investment per employee. This adjustment allows us to scale our ambitions and maintain our commitment to being a valued partner to the communities we serve. The effectiveness of our actions will be monitored through the CPP, which evaluates and approves initiatives based on their impact, feasibility and risk, ensuring our investments are making a meaningful difference in the communities we serve. We also continue to track our progress using engagement with affected communities through independent surveys and directly with the Head of S&CE. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 316 General disclosures Environmental Social Governance Valued partner in our communities: Additional disclosures


 
Our ambition Strong governance builds strong corporations. Our aim is to implement policies that maintain the highest standards of integrity, create long-term value for our stakeholders and help build a fairer, more cohesive society. On the following pages, we set out our approach and progress to date. ESG integrated governance We aim to make sustainability part of all regular day-to-day decision-making, and deliver on our ESG sustainability mission and responsibilities. ESG is part of all regular, day-to-day decision-making. Read more on page 318 > We’ll do this by focusing on the following sub-topics: • Responsible business conduct and compliance (covering compliance with Business ethics and Code of Conduct and Anti-bribery and anti-corruption) STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 317 General disclosures Environmental Social Governance Governance at a glance Transparent reporting We are open and transparent, driving progress while building trust with our stakeholders. Our commitment to integrated reporting reflects our view that our ESG-related information is as important as our financial information. ‘Open and transparent’ reporting, according to our stakeholders. We’ll do this by focusing on: • Internal reporting and communications • External reporting and communications Engaged stakeholders We want to be viewed by our stakeholders as a top performer on ESG sustainability, as we depend on strong, sustainable relationships with them across the value chain. Our stakeholders view ASML as a top performer on ESG sustainability. We’ll do this by focusing on the following stakeholder groups: • Customers • Employees • Suppliers • Shareholders • SocietyRead more in Strategic report – Our business – Engaged stakeholders on page 44 >


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 318 General disclosures Environmental Social Governance ESG integrated governance ESG is part of all regular, day-to-day decision making Why it matters ...for the planet ...for ASML Sustainability matters to stakeholders up and down our value chain, and together we are building a shared consensus of the importance of ESG-driven thinking. Integrity, honesty and transparency inform our entire ESG approach, including the decisions we make and disclose about our performance. As part of this, to ensure we can create long-term value for our stakeholders, we want to have good relationships with our stakeholders and support those who are more vulnerable, ensure compliance with data privacy regulations, and have more political engagement with regard to ESG topics. We aim to act on our responsibilities and anchor ESG sustainability across our entire business. Robust integrated governance policies and an ongoing commitment to responsible business conduct and risk management are essential. Ethics and compliance are a foundation to our sustainability strategy. We aim to foster a fair, transparent and inclusive culture – one where people feel empowered to speak up about the changes needed to make our sustainability transition a success. Our policies affect different groups of stakeholders: customers, employees, suppliers, shareholders and society at large. Having their trust and collaborating with these groups to inform our wider ESG strategy is important: ...for our customers We aim to be a trusted supplier. We have corporate policies and procedures in place detailing our principles and compliance, guiding us in making the right decisions and living up to our values. ...for our employees They will only feel empowered to share their views if we foster a culture of transparency and respect – which is why our Integrated Governance Policy is based on our company values, purpose, vision and mission. ...for our suppliers We aim to inform our suppliers to ensure we conduct business in a compliant way, compliant with applicable laws and regulations in all countries we operate in. ...for our shareholders We aim to report transparently so our shareholders can make well- informed decisions. ...for society We aim to be transparent about the economic, environmental and social impact of our activities and our performance goals, metrics and results. Read more about our double materiality process and identified impacts, risks and opportunities for this theme in Sustainability statements – General disclosures – Impact, risk and opportunity management


 
Our objective We manage ESG sustainability as an integral part of our corporate strategy and are committed to conducting business in compliance with all applicable laws and regulations in all the countries we operate in. We champion good integrated corporate governance to build a relationship of trust, respect and mutual benefit with our stakeholders. To that end, we aim for ESG to be part of all regular, day-to-day decision- making. Specific roles and responsibilities for this topic Our business ethics governance model is built around the following roles and responsibilities: • The Compliance, Ethics, Security and Risk Committee (CESR) is responsible for policymaking and supervision of our compliance with legal and ethical requirements. The CESR receives quarterly updates on the ethics program. • Our CESR Ethics Committee investigates significant notifications of potential breaches of our Code of Conduct worldwide. • Our Ethics & Business Integrity team oversees and implements our Ethics program. All reports of a possible breach of our Code of Conduct are screened by one of the team members and significant reports are discussed with the CESR Ethics Committee. • Our Ethics organization includes employees who act as ethics liaisons in the countries where we operate. They serve as trusted representatives and are the first local point of contact for employees who have questions or concerns. Read more about roles and responsibilities in Sustainability statements – General disclosures – ESG sustainability governance STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 319 General disclosures Environmental Social Governance ESG integrated governance: How we’re managing Business ethics and Code of Conduct We are committed to ethical business practices and adherence to the highest standards of fairness, integrity and compliance in every country where we operate. Anti-bribery and anti-corruption If we are to demand the highest standards of employees, customers, suppliers, contractors and other business partners, we must go above and beyond in embodying the same. We do not tolerate any form of bribery or corruption.


 
Our approach We manage our overarching sustainability commitments as part of our business strategy. Integrating ESG sustainability directly into our governance policies helps us be more accountable and improve execution. For over a decade, our company has been a member of the RBA – the world’s largest industry coalition dedicated to corporate social responsibility in the global electronics industry. The RBA Code of Conduct ensures working conditions in organizations and their supply chains are safe, that workers are treated with respect and dignity, and that business operations are both ethical and environmentally responsible. Our Code of Conduct is purposefully drafted to align with the RBA’s, and focuses on the following key principles: • We respect people: We are committed to maintaining a safe and healthy working environment and respecting human rights, in line with international laws and regulations and industry standards such as the RBA Code of Conduct. • We operate with integrity: We foster a strong culture of integrity and compliance that underpins our business success. • We commit to safety and social responsibility: Technology touches every part of society. By helping make chips affordable and more powerful, we have an important role to play regarding our reputation, results and impact on the environment. • We protect our assets: Our most valuable assets are our people and their knowledge, both of which must be valued and protected. We are also firmly committed to conducting our business with fairness, integrity and respect. We promote and uphold ethical behavior and seek to foster a culture where speaking up is both encouraged and appreciated. Our expectations for employees – as well as for customers, suppliers, contractors and other business partners – are documented in policies such as Anti-Bribery and Anti- Corruption, Human Rights, Anti-Fraud, Insider Trading Rules, Gifts and Entertainment, and Competition Law Compliance, and in our Code of Conduct. We embody our core principles in all our business dealings. We clearly and convincingly embody our commitment to personal and professional integrity, never allowing ourselves to be improperly influenced by others – and never improperly influencing others in return. Regarding payments and political contributions, it is forbidden for employees, or any parties acting for us or on our behalf, to accept or provide facilitation payments or make political contributions on behalf of the company. We have identified key functions within ASML that are most at risk of fraud, bribery and corruption, and have an array of anti- fraud, anti-bribery and anti-corruption policies in place outlining the stringent measures we take to prevent them. Each policy has been carefully drafted to be fully compliant with all applicable laws and with our own Code of Conduct. We have also identified the following material sub-topics: • Business ethics and code of conduct • Anti-bribery and anti-corruption STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 320 General disclosures Environmental Social Governance ESG integrated governance: How we’re managing (continued)


 
Levers for action We have laid out ambitious sustainability targets. To achieve them, sustainability must be fully integrated across all our operations – with improvements incorporated directly into our existing governance strategies. This includes through: our purpose, vision, mission and values; our strategy and business priorities; our organization, processes and governance; and risk management and responsible business conduct. Embedding policies and principles in our organization Our dedicated ethics, business integrity and compliance program provides the necessary support, advice, training and communication to enable employees and stakeholders to understand and follow our Code of Conduct – building awareness through various communication channels to promote a culture of high integrity. It also helps create an open and honest culture that fosters compliance with the law and ASML policies across the organization. We ensure target monitoring and reviews are an integral part of our yearly policy review process, allowing us to continuously refine our strategies and actions. Speak Up Service Our whistleblowing service, Speak Up, applies to anyone who carries out work for, or on behalf of ASML – and to any other person or party we are involved with worldwide. We encourage employees, external business partners, suppliers, contractors and others to express any concerns they may have regarding possible violations of our Code of Conduct, company policies, values or the law itself. We want all employees to feel safe to express their concerns without apprehension or fear of reprisal, and do not tolerate any form of retaliation against employees or third parties who raise a concern in good faith. This also applies to participating in investigations about suspected violations of the Code, even if we could lose business as a result. Speak Up is hosted online by an independent, external service company in several different languages, and toll-free phone numbers are also available in every country we operate in. We have a dedicated email address and ethics liaisons. Reporting can also be done anonymously. We assess every Speak Up report we get and act swiftly to ensure all necessary actions are taken by the appropriate body. We may engage with the reporting party or counterparty to understand the nature of the message, as well as conducting more detailed analyses or investigations. When required, we implement remedial actions to prevent a reoccurrence. We continuously improve our Speak Up Service, ensuring employees feel safe and supported when reporting any concerns. Read more in our Speak Up and Non-retaliation Policy, which is publicly available at asml.com Training programs Ethics program training Our curriculum helps support management and employees in everyday decision-making and provides guidance on topics such as conflicts of interest, personal relationships at work, cultural differences and ethical aspects around any paid or unpaid activities outside their jobs at ASML. All new employees are invited to complete the first module of the curriculum within their first three months at ASML. As well as generic modules, the curriculum includes sections to target audiences with specific exposure to areas like anti-bribery and anti- corruption, gifts and entertainment, and respect for people – a key part of our Code. Target audiences are assessed at least on an annual basis and include: BoM, Customer Solutions and Support, Strategic Sourcing and Procurement, Risk and Business Assurance, Finance, Investor Relations, Legal and Compliance, Corporate Real Estate, Human Resources, Internal Audit and Society and Community Engagement. Code of Conduct employee training By the end of 2024, 97% of employees had completed our mandatory Code of Conduct employee training. A follow-up series is cascaded in three-month intervals, covering a broad range of topics such as Speak Up, Anti-Bribery and Anti-Corruption, Anti- Fraud, Insider Trading and ‘We respect people’. Anti-fraud, anti-bribery and anti-corruption training Our curriculum covering these topics includes a mandatory e-learning course as well as annual refresher trainings, supported by additional classroom training tailored to specific stakeholder groups or business activities. Surveys We also proactively measure how embedded our values are – or aren’t – within ASML. We use our annual employee engagement survey to take the pulse of the business. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 321 General disclosures Environmental Social Governance ESG integrated governance: How we’re managing (continued)


 
Our scope Business ethics and Code of Conduct applies to all decision-making within ASML, as well as how we conduct business relationships both upstream and downstream in the value chain. Why it matters: Impacts, risks and opportunities For Business ethics and Code of Conduct, we have identified the following: Impacts: Impact on people, the environment and the supply chain through the management of relationships with suppliers Risks and opportunities: Failure to comply with regulations due to increasing complexity as we expand into more countries F a i Failure to comply with laws and regulations for supply chain due diligence Failure to comply with data privacy regulations or breaches of data privacy Read more in Strategic report – Performance and risk – Risk Our targets and performance No matter which country we operate in, we only wish to conduct business with fairness, integrity and respect for the law We aim to maintain an up-to-date Code of Conduct aligned with the latest RBA standards, ensuring training materials are available for all employees and meticulously track participation. We are constantly enhancing our programs and strengthening our measures. By maintaining these initiatives and improving our processes, we ultimately hope to demonstrate our commitment to ethical business practices and adherence to the highest standards of fairness, integrity and compliance. To track and assess the effectiveness of these actions, we conduct a yearly ethics survey covering 25% of our workforce, and monitor several key metrics including the number of Speak Up reports and the completion rate of Code of Conduct training – aiming for a higher rate each year. Annual ethics pulse survey The ethics pulse survey was sent to a random 25% of the total employee population, with roughly 3,400 responses. We were pleased to see stable results, with 89% of respondents agreeing or strongly agreeing that “ASML makes it sufficiently clear what the principles of the Code are and how to comply with them”. Over 70% also strongly agreed or agreed with the following statements: • “ASML shows a commitment to ethical business decisions and conduct” • “In my immediate working environment, a mutual relationship of trust prevails” • “My direct manager sets the tone at the top – i.e. a good example in terms of ethical behavior” Speak Up reports During 2024, we received 727 reports. Given the growth of our workforce and our efforts to encourage people to report any concerns, the increase is a positive result signaling a healthy Speak Up culture. The number of reports per 100 employees is 1.7. We aim to do our utmost to protect anyone Speaking Up. We will not tolerate any form of retaliation or any other form of adverse consequences against employees or third parties who raise a concern in good faith or participate in an investigation about suspected violations of the Code of Conduct, even if we could lose business as a result. Read more in our Speak Up and Non-retaliation Policy, which is publicly available at asml.com Code of Conduct training By the end of 2024, 97% of employees had completed the Code of Conduct training course. Our actions and resources To meet our ambition we continuously update, improve and expand our Speak Up and Non-retaliation Policy, Code of Conduct, Human Rights Policy and anti- bribery and anti-corruption training programs. Over the last year, we have brought in an array of initiatives to make ethical and compliant practices an important part of our ongoing sustainability efforts: Code of Conduct update and training Our state-of-the-art Code of Conduct has been updated in 2024 to reflect current best practices – ensuring it evolves with ASML and the environment in which we operate, promoting ethical behavior and decision- making. Alongside the updated Code, we have also launched a Principles in Practice platform to give examples and practical guidance. We also have a comprehensive training program related to the Code, including a newly developed training module accompanying the launch of the Code of Conduct, and participation is tracked. Code of Conduct training is delivered to new employees, with annual refreshers for existing staff. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 322 General disclosures Environmental Social Governance ESG integrated governance: Business ethics and Code of Conduct


 
Improving our ethics complaint investigation approach In 2024, we improved our formal investigation guidelines that outline the process for each phase of an investigation, from the first report to remedial action and final closure. As well as comprehensive training, we have published guidance notes for investigators, coordinators, reporting parties and other stakeholders who may be involved. Promoting ethical behavior and improved ethics training programs We extended our ethics training curriculum to provide additional training for our network of ethics liaisons, as well as a refresher series for existing employees and revamped online training for our people managers. Ethics liaisons are employees who, in addition to their regular roles at ASML, serve as trusted representatives, and act as the first local point of contact for employees with questions and concerns related to ethics in all the countries we operate in. Our ethics program provides support, advice and training to help employees and other stakeholders understand and uphold our Code of Conduct. Its aim is to promote a culture of integrity, openness and honesty while fostering compliance with legal policies across the company. Alongside generic modules and more targeted topics, we also have several themes throughout the year such as a Speak Up campaign and awareness of ethics liaisons to highlight their roles and the benefits they can bring in resolving situations. Expanding our global Ethics and Business Integrity team In 2024, we expanded our global Ethics and Business Integrity team with additional representation in South Korea (also covering Japan), China and Veldhoven. In addition, we continued to grow our network of ethics liaisons to around 70 employees throughout the company and introduced tailored sessions to raise understanding of the importance of enacting, upholding and embodying our updated Code of Conduct. We also held annual mandatory training for our ethics liaisons which is conducted by an external company to ensure we are maintaining a level of best practice within the team. Data privacy We respect the privacy of individuals when processing their personal data. We protect personal data and manage it in line with our Privacy Policy and in compliance with applicable laws and regulations. Read more in Strategic report – Corporate conduct – Privacy and personal data protection Resources The resources needed for this action are included in the Consolidated financial statements in Selling, general and administrative costs. They consist of our annual RBA membership fee and personnel costs for the colleagues executing the activities (three to four FTEs). This holds an associated cost of approximately €0.6 million yearly. Looking ahead Our ultimate goal is to continue to embed ethical leadership within all layers of the organization, drive a culture of ethical standards and foster a sense of trust and accountability. We will work closely with our business partners in the Legal and Compliance department in coming months to reach out to stakeholders and help achieve our goals of embedding ownership of ethical leadership across the organization. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 323 General disclosures Environmental Social Governance ESG integrated governance: Business ethics and Code of Conduct (continued)


 
Our scope Anti-bribery and anti-corruption applies to all decision-making within ASML, as well as how we conduct business relationships both upstream and downstream in the value chain. Why it matters: Impacts, risks and opportunities For anti-bribery and anti-corruption we have identified the following: Impacts: Impact on people, the environment and the supply chain through the management of relationships with suppliers Risks and opportunities: Failure to comply with regulations due to increasing complexity as we expand into more countries F a i Failure to comply with laws and regulations for supply chain due diligence Failure to comply with data privacy regulations or breaches of data privacy Read more in Strategic report – Performance and risk – Risk Our targets and performance If we are to demand the highest standards of employees and suppliers, we must go above and beyond in embodying the same. We do not tolerate any form of bribery or corruption. We set out to ensure that anti-bribery and anti-corruption compliance would remain an important focus area across our global operations, and in 2024 managed to continue to increase awareness of our Anti- Bribery and Anti-Corruption program – with no convictions or fines against us or our employees in these areas in the reporting year. Substantiated breaches of anti-bribery or anti-corruption procedures and standards are generally followed up with corrective actions, including disciplinary actions, review and enhancement of internal controls and policies, additional training or other measures that aim to further promote a culture of ethics and professional integrity. Our actions and resources Providing clear guidance on gifts and entertainment We have strict rules around the giving and accepting of gifts and entertainment. Such activities should never influence – or even appear to influence – the integrity of our business decisions and transactions, or the loyalty of any of the parties involved. We have been updating our Gifts & Entertainment Policy – a key element in our Compliance and Anti-Bribery and Anti- Corruption programs, particularly in the rules it sets around requests for prior approval for particular categories of third-party gifts and entertainment. Last year, we also launched an associated set of tools as part of this approval requirement, helping us capture a register of given and accepted gifts or entertainment and offering employees further guidance about what to do next. These additional processes ultimately help to support compliance with the policy and with applicable laws and regulations. Read more in our Anti-Bribery and Anti-Corruption Policy, which is publicly available at asml.com Introducing our Conflicts of Interest Policy In 2024, we expanded our existing guidance to introduce a Conflicts of Interest Policy as part of our Compliance and Anti-Bribery and Anti-Corruption programs. This policy, which will be implemented in 2025, offers guidance on what to do when a conflict of interest arises, and requires employees – including job candidates and new hires – to disclose any actual, potential or perceived conflict of interest. It also obligates people to avoid taking actions in relation to the potential conflict while the situation is still being assessed. Expanding our third-party risk management efforts Over the course of 2024, we continued to expand our third-party risk management (TPRM) efforts. As part of the TPRM program, we are screening (potential) vendors, customers and other types of third parties to mitigate risks associated with working with them, in line with our Code of Conduct. This included intensifying screening efforts on our supplier base, investing in information and automation capabilities, and further aligning our TPRM governance with industry best practices. In addition, we invested significantly in our human rights due-diligence strategy, working closely with the various responsible teams. Grievance mechanisms available to employees Employees seeking further guidance, or who want to express worries regarding anti-fraud, anti-bribery and anti-corruption (including gifts, entertainment or conflicts of interests) can do so via their manager, Human Resources representative, ethics liaison, our Ethics Office or through the Speak Up Service, which is also available to third parties. Read more in our Speak Up and Non-retaliation Policy, which is publicly available at asml.com Looking ahead We are constantly looking to enhance our internal compliance system to adapt to changes in the legal and our business environment and to address bribery and corruption risks identified through our annual fraud risk assessment. We continue to work closely with internal and external stakeholders to further promote a culture of personal and business integrity. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 324 General disclosures Environmental Social Governance ESG integrated governance: Anti-bribery and anti-corruption


 
Topic Description Unit 2024 Governance Number of convictions for violation of anti-corruption and anti-bribery laws 0 Monetary value of fines for violation of anti-corruption and anti-bribery laws € 0 Number of complaints filed through channels for own workforce 93 Number of incidents of discrimination including harassment 60 Monetary value of fines, penalties and compensation for damages as a result of complaints or incidents of discrimination including harassment € 0 Number of severe human rights incidents 0 Monetary value of fines, penalties and compensations for damages as a result of severe human rights incidents € 0 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 325 General disclosures Environmental Social Governance ESG integrated governance: Metrics table


 
Methodology on metrics G1-4 Incidents of corruption or bribery Violation of anti-corruption and anti-bribery laws We report incidents of corruption or bribery that have been found to be substantiated. Confirmed incidents of corruption or bribery do not include incidents that are still under investigation at the end of the reporting period. The determination of potential non-compliance cases as substantiated may be made either by our compliance officer or similar function or an authority. A determination as substantiated by a court of law is not required. S1-17 Incidents, complaints and severe human rights impacts Number of complaints filed through channels for own workforce This metric includes all Speak Up reports received in the year, as received via our internal channels for own workforce. Complaints or incidents of discrimination including harassment We report complaints or incidents, related to discrimination including harassment, registered by: • Our company through our Speak Up Service • Competent authorities through a formal process • An instance of non-compliance identified by us through other established procedures which can include management system audits or formal monitoring programs Severe human rights incidents The severity of a human rights incident depends on the assessment of the gravity, how widespread it is and its remediability. As a result, it is not possible to give one all-encompassing definition, but we do recognize any identified case of forced labor, human trafficking or child labor as a severe human rights incident. Our definition of a human rights incident is aligned with the following pertinent international conventions: • International Bill of Human Rights • ILO Declaration on Fundamental Principles and Rights at Work • UN Guiding Principles on Business and Human Rights • OECD Guidelines for Multinational Enterprises As a result, all severe human rights incidents reported are also cases of non-respect of these. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 326 General disclosures Environmental Social Governance ESG integrated governance: Additional disclosures


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 327 Consolidated financial statements Company financial statements Other information Appendices Definitions 328 Consolidated statement of profit or loss 329 Consolidated statement of comprehensive income 330 Consolidated statement of financial position 331 Consolidated statement of changes in equity 333 Consolidated statement of cash flows 334 Notes to the Consolidated financial statements Consolidated financial statements


 
Net system sales 2 15,430.3 21,938.6 21,768.7 Net service and field option sales 5,743.1 5,619.9 6,494.2 Total net sales 2, 3 21,173.4 27,558.5 28,262.9 Cost of system sales (7,843.3) (10,439.1) (10,615.1) Cost of service and field option sales (2,817.4) (3,345.0) (3,364.0) Total cost of sales (10,660.7) (13,784.1) (13,979.1) Gross profit 10,512.7 13,774.4 14,283.8 Research and development costs (2,282.1) (3,113.1) (3,181.0) Selling, general and administrative costs (909.6) (1,149.4) (1,165.7) Operating income 7,321.0 9,511.9 9,937.1 Finance income 16 16.2 193.9 182.4 Finance costs 16 (60.8) (152.7) (162.6) Income before income taxes 7,276.4 9,553.1 9,956.9 Income tax expense 21 (1,018.6) (1,629.2) (1,817.7) Income after income taxes 6,257.8 7,923.9 8,139.2 Profit from investments in associates 9 138.0 191.3 209.8 Net income 6,395.8 8,115.2 8,349.0 Basic net income per ordinary share 23 16.08 20.61 21.23 Diluted net income per ordinary share 23 16.07 20.59 21.21 Number of ordinary shares used in computing per share amounts Basic 23 397.7 393.8 393.3 Diluted 23 398.0 394.1 393.6 Year ended December 31 (€, in millions, except per share data) Notes 2022 2023 2024 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 328 Consolidated financial statements Company financial statements Other information Appendices Definitions Consolidated statement of profit or loss


 
Year ended December 31 (€, in millions) Notes 2022 2023 2024 Net income 6,395.8 8,115.2 8,349.0 Other comprehensive income: Proportionate share of other comprehensive income from associates 37.7 0.2 (12.1) Foreign currency translation, net of taxes: Gain (loss) on foreign currency translation 22 68.9 (67.6) 93.0 Financial instruments, net of taxes: Gain (loss) on derivative financial instruments 57.6 (15.8) 38.2 Transfers to net income 25 (66.5) 0.6 (8.9) Other comprehensive income, net of taxes1 97.7 (82.6) 110.2 Total comprehensive income, net of taxes 6,493.5 8,032.6 8,459.2 Attributable to equity holders 6,493.5 8,032.6 8,459.2 1. All items in accumulated other comprehensive income as of December 31, 2024, comprised of our accumulated proportionate share of other comprehensive income from associates of €20.9 million gain (2023: €33.0 million gain; 2022 €32.8 million gain), the hedging reserve balance of €21.7 million gain (2023: €7.6 million loss; 2022: €7.6 million gain) and the currency translation adjustment balance of €242.8 million gain (2023: €151.3 million gain; 2022: €218.0 million gain), will be reclassified subsequently to profit or loss when specific conditions are met. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 329 Consolidated financial statements Company financial statements Other information Appendices Definitions Consolidated statement of comprehensive income


 
Assets Finance receivables, net 6 60.6 317.2 Deferred tax assets 21 2,104.8 2,168.6 Loans receivable 26 929.2 1,456.7 Other assets 8 640.7 790.8 Derivative financial instruments 25 11.3 — Investments in associates 9 919.6 903.0 Goodwill 11 4,610.1 4,610.1 Intangible assets, net 12 3,933.2 4,728.9 Property, plant and equipment, net 13 5,493.2 6,846.8 Right-of-use assets 14 306.6 387.2 Total non-current assets 19,009.3 22,209.3 Cash and cash equivalents 4 7,004.7 12,735.9 Short-term investments 4 5.4 5.4 Accounts receivable, net 5 4,334.1 4,477.5 Finance receivables, net 6 1,379.2 82.6 Contract assets 2 240.1 320.6 Current tax assets 21 1,001.2 283.6 Inventories, net 7 8,850.7 10,891.5 Other assets 8 1,234.1 1,463.6 Derivative financial instruments 25 19.8 96.5 Total current assets 24,069.3 30,357.2 Total assets 43,078.6 52,566.5 As of December 31 (€, in millions) Notes 2023 2024 Equity and liabilities Shareholders’ equity 22 16,209.5 22,021.9 Long-term debt 16 4,631.5 3,677.3 Deferred and other income tax liabilities 21 737.3 732.9 Contract liabilities 2 4,825.5 5,625.4 Accrued and other liabilities 15 338.4 430.2 Derivative financial instruments 25 62.7 29.3 Total non-current liabilities 10,595.4 10,495.1 Accounts payable 2,346.3 3,498.5 Accrued and other liabilities 15 2,083.4 2,602.3 Derivative financial instruments 25 94.0 84.3 Current tax liabilities 21 308.9 283.3 Current portion of long-term debt 16 0.1 1,010.3 Contract liabilities 2 11,441.0 12,570.8 Total current liabilities 16,273.7 20,049.5 Total equity and liabilities 43,078.6 52,566.5 As of December 31 (€, in millions) Notes 2023 2024 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 330 Consolidated financial statements Company financial statements Other information Appendices Definitions Consolidated statement of financial position


 
(Before appropriation of net income) Balance at January 1, 2022 402.6 36.5 4,369.4 (2,422.8) 1,663.0 2,063.1 6,134.6 11,843.8 Prior year net income — — — — 6,134.6 — (6,134.6) — Components of comprehensive income: Net income — — — — — — 6,395.8 6,395.8 Proportionate share of other comprehensive income from associates — — — — — 37.7 — 37.7 Gain (loss) on foreign currency translation — — — — — 68.9 — 68.9 Gain (loss) on financial instruments 25 — — — — — (8.9) — (8.9) Total comprehensive income — — — — — 97.7 6,395.8 6,493.5 Purchase of treasury shares (8.5) — — (4,639.7) — — — (4,639.7) Cancellation of treasury shares — (0.3) — 2,333.7 (2,333.4) — — — Share-based payments2 20 — — 66.4 — — — — 66.4 Issuance of shares 0.5 0.1 (4.2) 87.5 (1.6) — — 81.8 Dividend paid — — — — (2,559.8) — — (2,559.8) Development expenditures — — — — (600.9) 600.9 — — Balance at December 31, 2022 394.6 36.3 4,431.6 (4,641.3) 2,301.9 2,761.7 6,395.8 11,286.0 Prior year net income — — — — 6,395.8 — (6,395.8) — Components of comprehensive income: Net income — — — — — — 8,115.2 8,115.2 Proportionate share of other comprehensive income from associates — — — — — 0.2 — 0.2 Gain (loss) on foreign currency translation — — — — — (67.6) — (67.6) Gain (loss) on financial instruments 25 — — — — — (15.2) — (15.2) Total comprehensive income — — — — — (82.6) 8,115.2 8,032.6 Issued and Outstanding Shares Share Premium Treasury Shares at Cost Retained Earnings Other Reserves1 Net Income Total(€, in millions) Notes Number Share Capital STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 331 Consolidated financial statements Company financial statements Other information Appendices Definitions Consolidated statement of changes in equity


 
Purchase of treasury shares (1.6) — — (1,000.0) — — — (1,000.0) Cancellation of treasury shares — (0.3) — 2,105.1 (2,104.8) — — — Share-based payments2 20 — — 139.8 — — — — 139.8 Issuance of shares 0.5 — (77.5) 230.0 (53.1) — — 99.4 Dividend paid — — — — (2,348.3) — — (2,348.3) Development expenditures — — — — (689.1) 689.1 — — Balance at December 31, 2023 393.5 36.0 4,493.9 (3,306.2) 3,502.8 3,367.8 8,115.2 16,209.5 Prior year net income — — — — 8,115.2 — (8,115.2) — Components of comprehensive income: Net income — — — — — — 8,349.0 8,349.0 Proportionate share of other comprehensive income from associates — — — — — (12.1) — (12.1) Gain (loss) on foreign currency translation — — — — — 93.0 — 93.0 Gain (loss) on financial instruments 25 — — — — — 29.3 — 29.3 Total comprehensive income — — — — — 110.2 8,349.0 8,459.2 Purchase of treasury shares (0.6) (0.1) — (499.9) — — — (500.0) Cancellation of treasury shares — (0.5) — 3,050.4 (3,049.9) — — — Share-based payments2 20 — — 182.1 — — — — 182.1 Issuance of shares 0.4 — (121.7) 279.7 (34.0) — — 124.0 Dividend paid — — — — (2,452.9) — — (2,452.9) Development expenditures — — — — (914.6) 914.6 — — Balance at December 31, 2024 393.3 35.4 4,554.3 (476.0) 5,166.6 4,392.6 8,349.0 22,021.9 Issued and Outstanding Shares Share Premium Treasury Shares at Cost Retained Earnings Other Reserves1 Net Income Total(€, in millions) Notes Number Share Capital 1. Other reserves consist of our proportionate share of other comprehensive income from associates, the hedging reserve, the currency translation reserve and the reserve for capitalized development expenditures. See Note 22 Shareholders’ equity. 2. Share-based payments include income taxes recognized directly in shareholders' equity of €9.5 million gain (2023: €2.5 million gain, 2022: €2.5 million gain). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 332 Consolidated financial statements Company financial statements Other information Appendices Definitions Consolidated statement of changes in equity (continued)


 
Cash flows from operating activities Net income 6,395.8 8,115.2 8,349.0 Adjustments to reconcile net income to net cash flows from operating activities: Depreciation and amortization1 12, 13, 14 875.9 1,047.5 1,200.1 Impairment and loss on disposal 12, 13 39.3 37.5 35.8 Share-based compensation expense 20, 28 66.4 139.8 182.1 Inventory reserves 7 278.5 485.3 554.7 Deferred tax expense (benefit) 21 (774.7) 233.2 (38.1) Investments in associates2 9 15.3 4.2 4.4 Changes in assets and liabilities: Accounts receivable, net 5 (2,338.0) 959.9 (139.9) Finance receivables, net 6 212.2 (88.6) 1,038.7 Inventories 7 (2,080.9) (1,646.9) (1,860.9) Other assets 8 (611.4) (532.6) (1,246.9) Accrued and other liabilities 15 278.7 539.0 634.0 Accounts payable 405.3 (261.1) 1,126.7 Current tax assets and liabilities 21 39.8 (931.6) 658.2 Contract assets and liabilities 2 6,632.7 (1,564.6) 1,871.8 Net cash provided by operating activities 9,434.9 6,536.2 12,369.7 Cash flows from investing activities Purchase of property, plant and equipment3 13 (1,281.8) (2,155.6) (2,067.2) Purchase of intangible assets 12 (930.6) (985.9) (1,138.4) Purchase of short-term investments 4 (334.3) (23.6) (305.2) Maturity of short-term investments 4 864.7 125.6 305.2 Loans issued and other investments4 8 (240.0) (561.5) (526.2) Acquisition of subsidiaries (net of cash acquired) 10 — (33.6) — Net cash used in investing activities (1,922.0) (3,634.6) (3,731.8) Year ended December 31 (€, in millions) Notes 2022 2023 2024 Cash flows from financing activities Dividend paid 22 (2,559.8) (2,348.3) (2,452.9) Purchase of treasury shares 22 (4,639.7) (1,000.0) (500.0) Net proceeds from issuance of shares 20 81.8 99.4 124.0 Net proceeds from issuance of notes, net of issuance costs 16 495.6 997.8 22.5 Repayment of debt and lease obligations 14, 16 (571.2) (900.3) (106.7) Net cash used in financing activities (7,193.3) (3,151.4) (2,913.1) Net cash flows 319.6 (249.8) 5,724.8 Effect of changes in exchange rates on cash (3.1) (13.8) 6.4 Net increase (decrease) in cash and cash equivalents 316.5 (263.6) 5,731.2 Cash and cash equivalents at beginning of the year 4 6,951.8 7,268.3 7,004.7 Cash and cash equivalents at end of the year 4 7,268.3 7,004.7 12,735.9 Supplemental Disclosures of Cash Flow Information Unpaid portion of property, plant and equipment, excluded in investing activities, included in accounts payable 50.3 49.3 23.6 Interest received 42.4 190.8 169.5 Interest paid (82.2) (137.8) (160.0) Income taxes paid, net of refunds (1,734.6) (2,568.3) (1,098.0) Year ended December 31 (€, in millions) Notes 2022 2023 2024 1. Depreciation and amortization include depreciation of property, plant and equipment, amortization of intangible assets, depreciation of right-of- use assets, amortization of underwriting commissions and discount related to the bonds and credit facility. 2. Investments in associates relates to our 24.9% equity interest in Carl Zeiss SMT Holding GmbH & Co. KG and includes our share of the net result, dividends received and other equity movements, as well as the capitalization of our R&D funding to Carl Zeiss SMT Holding GmbH & Co. KG as disclosed in Note 26 Related parties. The dividend received is a cash inflow of €225.4 million (2023: €218.0 million, 2022: €178.7 million). 3. Purchase of property, plant and equipment includes a cash outflow of €0.0 million (2023: €45.1 million, 2022: €33.8 million) to related parties. 4. Loans issued and other investments includes a cash outflow of €528.4 million (2023: €548.0 million, 2022: €240.0 million) to related parties, which is partly offset with other repayments. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 333 Consolidated financial statements Company financial statements Other information Appendices Definitions Consolidated statement of cash flows


 
1. General information / summary of general accounting policies ASML is a leading supplier to the semiconductor industry. We provide chipmakers with hardware, software and services to mass produce the patterns of integrated circuits (microchips). Together with our partners, we drive the advancement of more affordable, more powerful and more energy-efficient microchips. We enable groundbreaking technology to solve some of humanity’s toughest challenges in healthcare, energy use and conservation, mobility and agriculture. Headquartered in Europe’s top tech hub, the Brainport Eindhoven region in the Netherlands, we are a global team of more than 44,000 full-time employees (FTEs). Our principal operations are in EMEA, North America and Asia. The registered office of ASML Holding NV is located at De Run 6501, Veldhoven, the Netherlands. The statutory seat is in Veldhoven. The company is registered with the Dutch Commercial Register under number 17085815. Our shares are listed for trading in the form of registered shares on Euronext Amsterdam and Nasdaq. The principal trading market of our ordinary shares is Euronext Amsterdam. Our Financial Statements were authorized for issuance by the Board of Management on March 5, 2025 and will be filed at the Trade Register of the Chamber of Commerce in Eindhoven within eight days after adoption by the 2025 AGM. Basis of preparation The accompanying Consolidated financial statements are stated in millions of euros unless indicated otherwise. These Consolidated financial statements, prepared for statutory purposes, have been prepared in accordance with EU-IFRS and also comply with Article 362.9 of Book 2 of the Dutch Civil Code. For internal and external reporting purposes, we apply US GAAP. US GAAP is our primary accounting standard for setting financial and operational performance targets. The Consolidated financial statements have been prepared on historical cost convention unless stated otherwise. The principal accounting policies adopted are set out below. Use of estimates The preparation of our Consolidated financial statements in conformity with EU-IFRS requires management to make estimates and assumptions that affect the reported amounts of assets and liabilities and the disclosure of contingent assets and liabilities on the dates of the Consolidated statement of financial position, and the reported amounts of net sales and costs for the reported periods. The inputs into our estimates and assumptions consider economic implications including supply chain constraints, inflation and uncertainty in the macroeconomic environment. We believe that the critical accounting estimates and assumptions are appropriate. ASML will continue to monitor the impacts of economic implications and incorporate them into accounting estimates. We evaluate our estimates on a regular basis and we base our estimates on historical experience and on various other assumptions that we believe to be reasonable under the circumstances. Actual results may differ from these estimates if the assumptions prove incorrect. To the extent there are material differences between actual results and these estimates, our future results could be materially and adversely affected. We believe that the accounting policies described below require us to make significant judgments and estimates in the preparation of our Consolidated financial statements. Our most critical accounting estimates relate to revenue recognition (see Note 2 Revenue from contracts with customers) and capitalization of development expenditures (see Note 12 Intangible assets, net). Although still considered an accounting estimate, the recoverability of deferred tax assets for capitalized R&D costs is no longer considered a critical accounting estimate. This is as the majority of our R&D expenses at US level are no longer eligible for capitalization for tax purposes, resulting now in the related deferred tax asset balance decreasing over time due to amortization. Principles of consolidation The Consolidated financial statements include the Financial statements of ASML Holding NV and all of its subsidiaries. Subsidiaries are all entities over which ASML controls the financial and operating activities, generally accompanying a shareholding of more than 50.0% of the outstanding voting rights. Subsidiaries are fully consolidated from the date on which control is obtained by ASML. All intercompany transactions, balances and unrealized results on transactions with subsidiaries are eliminated. Foreign currency translation The financial information for subsidiaries with a functional currency outside the Eurozone is measured using a mix of local currencies or the euro as the functional currency. The Financial statements of those foreign subsidiaries with a functional currency different than the euro are translated into euros in the preparation of ASML’s Consolidated financial statements. Assets and liabilities are translated into euros at the exchange rate on the respective balance sheet dates, and income and costs are translated into euros based on the average exchange rate for the corresponding period. The resulting translation adjustments are recorded directly in shareholders’ equity. New EU-IFRS accounting standards and interpretations adopted During 2024, there were no new EU-IFRS accounting standards and interpretations that were adopted which have a material impact on our Consolidated financial statements. New EU-IFRS accounting standards and interpretations issued but not adopted For 2024, there are no new accounting standards and interpretations issued which have not yet been adopted and are expected to have a material impact on our Consolidated financial statements. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 334 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements


 
2. Revenue from contracts with customers Accounting policy We measure revenue based on the consideration specified in the contracts with our customers, adjusted for any significant financing components, and excluding any taxes collected on behalf of third parties. We recognize revenue when we satisfy a performance obligation by transferring control over a good or service to our customer. We bill our customers for, and recognize as revenue, charges for shipping and handling costs. Depending on the contract, we generally obtain a right to payment for our systems through a reservation of a production slot and/or upon delivery of our systems, with the remaining portion upon final acceptance of our systems. Right to payment for our service and field options occurs upon delivery or completion of the service unless described otherwise. The payment is typically due 15–45 days after the aforementioned events. Our contracts typically include cancellation penalties that provide economic protection from the risk of customer cancellation. The costs related to our sales are recognized as cost of sales. We generate revenue from the sale of integrated patterning solutions for the semiconductor industry, which mainly consist of systems, system-related options and upgrades, other holistic lithography solutions and customer services. The main portion of our net sales is derived from volume purchase agreements with our customers that have multiple performance obligations, which mainly include the sales of our systems, system-related options, installation, training, and extended and enhanced warranties. In our volume purchase agreements we offer customers discounts in the normal course of sales negotiations. As part of these volume purchase agreements, we may also offer free goods or services and credits that can be used toward future purchases. Occasionally, systems, with the related extended and enhanced warranties, installation and training services, are ordered individually. Our sales agreements do not include a right of return for any reason other than not meeting the agreed-upon specifications. We account for individual goods and services as separate and distinct performance obligations, including the free or discounted goods or services, if a product or service is separately identifiable from other items and if a customer can benefit from it on its own or with other resources that are readily available to the customer. Options to buy goods or services in addition to the purchase commitment are assessed to determine if they provide a material right to the customer that they would not have received if they had not entered into this contract. Each option to buy additional goods or services provided at a discount from the standalone selling price is considered a material right, for which the likelihood that the option will be exercised is evaluated based on the customer roadmap and their requirements. The consideration paid for our performance obligations is typically fixed. However, most of our volume purchase agreements with customers contain some component of variable consideration, typically dependent on the final volume of systems ordered by the customer or the system performance. Variable consideration is estimated at contract inception for each performance obligation based on communication with the customer to understand their requirements and roadmap. This is subsequently updated each quarter, using either the expected value method or the most likely amount method, whichever is determined to best predict the consideration to be collected from the customer. Variable consideration is only included in the transaction price if it is considered probable that a significant revenue reversal will not occur. In certain scenarios when entering into a volume purchase agreement, free goods or services are provided directly or through a voucher that can be used on future contracts. Consideration from the contract will be allocated to these performance obligations and revenue recognized when control transfers based on the nature of the goods or services provided. As a practical expedient, we do not record a significant financing component when we expect, at contract inception, that the period between the transfer of the products or services to the customer and customer payment for the products or services will be one year or less. In addition, most of our contracts require our customers to pay a down payment on systems to be shipped. We do not record a significant financing component for down payments, as the timing difference between when the consideration is paid and when the system is transferred to the customer arises from reasons other than financing. The total consideration of the contract is allocated between all distinct performance obligations in the contract based on their standalone selling prices. The standalone selling prices are determined based on other standalone sales that are directly observable, when possible. However, for the majority of our performance obligations these are not available. If no directly observable evidence is available, the standalone selling price is determined using the adjusted market assessment approach, which requires judgment and is based on multiple factors including, but not limited to, historical pricing practices and discounting trends for products and services. For options to buy goods or services that are considered a material right, the discount offered from the standalone selling price will be allocated from the consideration of the other goods and services in the contract if it is determined the customer will exercise the option to buy, adjusted for the likelihood. Revenue will be recognized in line with the nature of the related goods or services. If it is subsequently determined that the customer will not exercise the option to buy, or the option expires, revenue will be recognized. Occasionally we enter into bill-and-hold transactions, where we invoice a customer for a system that is ready for delivery but not shipped to the customer until a later date, based on the customer’s request. Transfer of control is determined to have occurred only when there is a substantive reason for the arrangement, the system is separately identified as belonging to the customer, the good has been accepted by the customer and is ready for delivery, and we do not have the ability to direct the use of the system. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 335 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
We generate revenue from lessor agreements, which we classify as a finance lease when the lease meets any of the following criteria at lease commencement: • The lease transfers ownership of the underlying asset to the lessee by the end of the lease term; • The lease grants the lessee an option to purchase the underlying asset, that the lessee is reasonably certain to exercise; • The lease term is for the major part of the remaining economic life of the underlying asset. However, if the commencement date falls at or near the end of the economic life of the underlying asset, this criterion shall not be used for the purposes of classifying the lease; • The present value of the sum of the lease payments and any residual value guaranteed by the lessee that is not already reflected in the lease payments equals or exceeds substantially all of the fair value of the underlying asset; or • The underlying asset is of such a specialized nature that it is expected to have no alternative use to the lessor at the end of the lease term. For finance leases where substantially all the risks and rewards incidental to ownership of an asset are transferred to the lessee, revenue is recognized at commencement of the lease. If material, the difference between the gross finance receivable and the present value of the minimum lease payments is initially recognized as unearned interest and presented as a deduction to the gross finance receivable. Interest income is recognized in the Consolidated statement of profit or loss over the term of the lease contract using the effective interest method. Leases that are not a finance lease are operating lease arrangements. If we have offered the customer an operating lease arrangement, the system is included in Property, plant and equipment upon commencement of the lease. Revenue from operating lease arrangements is recognized in the Consolidated statement of profit or loss on a straight-line basis over the term of the lease contract. New systems New systems sales include i-line, KrF, ArF dry, ArF immersion, NXE and EXE-related systems, along with the related factory options ordered with the base system, as well as metrology and inspection systems. Prior to shipment, the majority of our systems undergo a factory acceptance test (FAT) in our cleanroom facilities, effectively replicating the operating conditions that will be present on the customer’s site, in order to verify whether the system meets its standard specifications and any additional technical and performance criteria agreed with the customer. A system undergoing FAT is shipped only after all contractual specifications are met or discrepancies from agreed-upon specifications are waived and customer sign-off is received for delivery. Each system’s performance is re-tested through a site acceptance test (SAT) after installation at the customer site. We have never failed to successfully complete installation of a system at a customer’s premises; therefore, acceptance at FAT is considered to be proven for established technologies with a history of successful customer acceptances at SAT (equal or better than FAT). Transfer of control and recognition of revenue of a system undergoing a FAT, and for which customer acceptance at FAT is proven, will occur upon delivery of the system. Transfer of control and recognition of revenue of a system not undergoing a FAT, or for which customer acceptance at FAT is not proven, will occur after successful installation upon customer acceptance of the system at SAT. New system sales do not meet the requirements for over time revenue recognition because our customers do not simultaneously receive and consume the benefits provided by our performance, or control the asset throughout any stage of our production process, or the systems are considered to have alternative use. Used systems We have no repurchase commitments in our general sales terms and conditions; however, we occasionally repurchase systems that we previously manufactured and sold, in order to refurbish and resell the system to a different customer. This repurchase decision is mainly driven by market demand expressed by other customers. Transfer of control of a used system, and recognition of revenue, follow the same logic as for our ‘New systems’. Goods or services Nature, timing of satisfying the performance obligations and significant payment terms STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 336 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Field upgrades and options (system enhancements) Field upgrades and options mainly relate to goods and services that are delivered for systems already installed in the customer factories. Certain upgrades require significant installation efforts, enhancing an asset the customer controls, and therefore resulting in transfer of control over the period of installation. The method of measuring progress is based on what best depicts the satisfaction of our obligation in transferring control. This is generally based on either the cost incurred method, which is estimated using labor hours, or the value transferred method, which is estimated using system performance measurements. For the options and other upgrades for which the customer receives and consumes the benefit at the moment of delivery, the transfer of control and recognition of revenue will occur upon delivery. As long as we are not able to make a reliable estimate of the total efforts needed to complete the upgrade, we only recognize revenue to cover costs incurred. Margin will be realized at the earlier of us being able to make a reliable estimate or completion of the upgrade. New product introduction If the installation of new products is determined not to be a separate performance obligation or if there is not a sufficient established history of acceptance on FAT, a new product is considered to be a “new product introduction". Transfer of control and revenue recognition for new product introductions occurs after successful installation and customer acceptance at SAT. Once there is an established history of successful installation and customer acceptance, revenue will be recognized consistent with other systems and goods after transfer of control. Installation Installation is provided within the selling price of a system. Installation is considered to be distinct if it does not significantly modify the system being purchased and the customer or a third party could be capable of performing the installation themselves, if desired. Transfer of control takes place over the period of installation from delivery through SAT, measured on a straight-line basis, as our performance is satisfied evenly over this period of time. Installation is not considered to be distinct when recognition of revenue related to a system occurs upon customer acceptance of the system at SAT after installation is complete. Warranties We provide standard warranty coverage on our systems for 12 months, providing labor and non-consumable parts necessary to repair our systems during these warranty periods. These standard warranties cannot be purchased and do not provide a service in addition to the general assurance the system will perform as promised. As a result, no revenue is allocated to these standard warranties. Both the extended and enhanced warranties on our systems are accounted for as a separate performance obligation, with transfer of control taking place over the warranty period, measured on a straight-line basis, as this is a stand-ready obligation. Goods or services Nature, timing of satisfying the performance obligations and significant payment terms Time-based licenses and related services Time-based licenses relate to software licenses and the related services which are sold for a period of time. The licenses and the related services are not considered to be individually distinct, as the support services are integral to the customer’s ability to continue to use the software license in the rapidly changing technological environment. The transfer of control takes place over the license term, measured on a straight-line basis, as our performance is satisfied evenly over this period of time. Payments are generally made in installments throughout the license term. Application projects Application projects are node transition and consulting projects which at times may be provided as free service within a volume purchase agreement. Measuring satisfaction of this performance obligation is performed through an input method based on the labor hours expended relative to the estimated total labor hours, as this best depicts the transfer of control of these kind of services. Service contracts Service contracts are entered into with our customers to support our systems used in their ongoing operations during the systems life cycle, typically in the form of full-service agreements, limited manpower agreements, other labor agreements, parts availability or parts usage agreements. These services are for a specified period of time and typically have a fixed price. Control transfers over this period of time, measured on a straight-line basis, as these are stand-ready obligations. For service contracts where the price is not fixed, the transaction price has a variable component that is based on the performance of the system. Billable parts and labor Billable labor represents maintenance services to our systems installed in the customer’s factories while in operation, through purchase orders from our customer. Control over these services is transferred to the customer upon receipt of customer sign-off. Billable parts represent spare parts including optical components relating to our systems installed in the customer’s factories while in operation, through purchase orders from our customer. Billable parts can be: • Sold as direct spare parts, for which control transfers point in time upon delivery; or • Sold as part of maintenance services, where control transfers point in time upon receipt of customer sign-off. Field projects (relocations) Field projects represent mainly relocation services. Measuring satisfaction of this performance obligation is performed through an input method based on the labor hours expended relative to the estimated total labor hours, as this best depicts the transfer of control of our service. OnPulse maintenance OnPulse maintenance services are provided over a specified period of time on our light source systems. Payment is determined by the amount of pulses counted from each light source system, which is variable. Invoicing is monthly based on the pulses counted. Revenue is recognized in line with invoicing using the practical expedient in EU-IFRS 15.B16. Goods or services Nature, timing of satisfying the performance obligations and significant payment terms STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 337 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Disaggregation of revenue Our revenue from contracts with customers, on a disaggregated basis, aligns with our reportable segment disclosures with the addition of disaggregation of net system sales per technology and per end-use. Net system sales per technology were as follows: Year ended December 31 2022 2023 2024 in units in € millions in units in € millions in units in € millions EXE — — — — 2 465.0 NXE 40 7,045.3 53 9,124.0 42 7,856.4 ArF immersion 81 5,236.5 125 9,017.4 129 9,667.0 ArF dry 28 623.7 32 780.2 28 774.4 KrF 151 1,653.7 184 2,202.5 152 1,991.2 I-line 45 211.5 55 278.4 65 369.2 Metrology & Inspection 216 659.6 151 536.1 165 645.5 Total 561 15,430.3 600 21,938.6 583 21,768.7 Net system sales per end-use were as follows: Year ended December 31 2022 2023 2024 in units in € millions in units in € millions in units in € millions Logic 357 9,977.6 439 15,984.7 399 13,195.1 Memory 204 5,452.7 161 5,953.9 184 8,573.6 Total 561 15,430.3 600 21,938.6 583 21,768.7 Contract assets and liabilities The contract assets relate to our right to a consideration in exchange for goods or services delivered, when that right is conditional on something other than the passage of time. The contract assets are transferred to the receivables when the receivables become unconditional. The contract liabilities primarily relate to remaining performance obligations for which consideration has been received for goods and services not yet recognized in revenue, as well as deferred revenue from goods and services delivered, based on the allocation of the consideration to the related performance obligations in the contract. The majority of our customer contracts result in both asset and liability positions. At the end of each reporting period, these positions are netted on a contract basis and presented as either an asset or a liability in the Consolidated statement of financial position. Consequently, a contract balance can change between periods from a net contract asset balance to a net contract liability balance in the balance sheet, and vice versa. Significant changes in the contract assets and the contract liabilities balances during the periods are as follows. Year ended December 31 (€, in millions) 2023 2024 Contract assets Contract liabilities Contract assets Contract liabilities Balance at beginning of the year 131.9 17,750.9 240.1 16,266.5 Transferred from contract assets to accounts receivables (402.0) — (213.2) — Revenue recognized during the year ending in contract assets 135.1 — 275.9 — Revenue recognized that was included in contract liabilities — (11,106.1) — (9,047.5) Changes as a result of cumulative catch-up adjustments arising from changes in estimates — (24.9) — (61.3) Remaining performance obligations for which considerations have been received, or for which we have an unconditional right to consideration — 9,416.3 — 11,483.4 Transfer between contract assets and liabilities 375.1 375.1 17.8 17.8 Other — (144.8) — (462.7) Total 240.1 16,266.5 320.6 18,196.2 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 338 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
The increase in the net contract liabilities to €17.9 billion as of December 31, 2024 compared to €16.0 billion as of December 31, 2023 is mainly driven by systems shipped for which revenue has not yet been recognized, as well as an increase in payments for goods and services which will be delivered in the future. Cumulative catch-up adjustments recognized in our current year’s revenue are due to updated estimates for system volume, discounts and credits included in our volume purchase agreements. The increase in “Other”, compared to 2023, is mainly due to an increase of down payments reclassified to refund liabilities. Refund liabilities are presented as accrued and other liabilities in the Consolidated statement of financial position. Remaining performance obligations Our customers generally commit to purchase systems, service or field options through separate sales orders and service contracts. Typically the terms and conditions of these sales orders come from volume purchase agreements with our customers which cover up to five years. The revenues for each committed performance obligation are estimated based on the terms and conditions agreed through the volume purchase agreements. When revenues will be recognized is mainly dependent on when systems are delivered or installed, as well as when service projects and field upgrades are performed and completed. All of which is estimated based on contract terms and communication with our customers, including the customer facility readiness to take delivery of our goods or services, as well as applicable export control restrictions. The volume purchase agreements may be subject to modifications or changes in estimates, impacting the amount and timing of revenue recognition for the anticipated revenues. As of December 31, 2024, the remaining performance obligations amount to €43.3 billion (December 31, 2023: €45.0 billion). The remaining performance obligations mainly include orders related to DUV immersion, NXE and EXE lithography systems. We estimate that 59% (December 31, 2023: 57%) of these anticipated revenues will be recognized during the next 12 months. 3. Segment disclosure ASML has one reportable segment, since we are a holistic lithography solution provider, for the development, production, marketing, sales, upgrading and servicing of advanced semiconductor equipment systems, consisting of lithography, metrology and inspection systems. The Chief Operating Decision Maker regularly sets and monitors goals and boundaries on a consolidated basis to make decisions about resource allocation and assess performance. ASML's Chief Operating Decision Maker is the combination of the functions of the CEO and CFO. Management reporting includes net system sales figures of new and used systems, sales per technology and sales per end-use. For sales per technology and end-use, see Note 2 Revenue from contracts with customers. The Chief Operating Decision Maker predominantly uses consolidated net income and sales to evaluate income generated from segment assets in deciding whether to reinvest profits into the segment or invest in other activities, such as share buybacks or payments of dividends. Consolidated net income and sales are used to monitor budget versus actual results. The monitoring of budgeted versus actual results is used in assessing performance of the segment. All significant segment expenses are presented in the Consolidated statements of operations and are regularly reviewed by the Chief Operating Decision Maker. Net system sales for new and used systems were as follows: Year ended December 31 (€, in millions) 2022 2023 2024 New systems 15,152.3 21,622.4 21,139.7 Used systems 278.0 316.2 629.0 Net system sales 15,430.3 21,938.6 21,768.7 For geographical reporting, total net sales are attributed to the geographic location in which the customers’ facilities are located. Total non-current assets are attributed to the geographic location in which these assets are located and exclude deferred tax assets, financial instruments and compensation plan assets. Totals by geographic region were as follows: Total net sales Non-current assets Total net sales Non-current assets Total net sales Non-current assets Japan 1,008.6 7.9 613.6 10.2 1,156.0 17.1 South Korea 6,045.6 94.4 6,949.2 157.3 6,408.8 249.3 Singapore 475.5 5.5 282.1 5.2 285.0 4.4 Taiwan 8,095.5 223.0 8,074.6 368.5 4,354.0 481.4 China 2,916.0 41.8 7,251.8 44.5 10,195.1 75.9 Rest of Asia 7.2 0.2 3.9 0.1 3.5 0.1 Netherlands 9.2 8,024.1 25.1 9,383.4 16.6 10,931.6 EMEA 624.5 1,051.1 1,206.8 1,106.5 1,322.1 1,201.0 United States 1,991.3 4,200.8 3,151.4 4,732.4 4,521.8 5,193.2 Total 21,173.4 13,648.8 27,558.5 15,808.1 28,262.9 18,154.0 Year ended December 31 (€, in millions) 2022 2023 2024 In 2024, four customers exceeded more than 10% of total net sales, totaling €15.2 billion, or 53.8%, of total net sales. In 2023 and 2022, two customers exceeded more than 10% of total net sales, in 2023 totaling €14.9 billion, or 53.9% (2022: €11.8 billion, or 55.8%). Our three largest customers (based on total net sales) accounted for €2.6 billion, or 54.1%, of accounts receivable and finance receivables at December 31, 2024, compared with €3.7 billion, or 64.4%, at December 31, 2023 and €5.3 billion, or 78.6%, at December 31, 2022. The increase in total net sales of €0.7 billion, or 2.6%, to €28.3 billion in 2024 from €27.6 billion in 2023 is mainly driven by the first EXE systems being successfully installed in the field, increased DUV immersion system shipments and higher net service and field option sales. This was partially offset by lower NXE sales due to fewer NXE capacity additions by our customers. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 339 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
The increase in net service and field option sales is mainly driven by higher service sales, which has benefited from a growing installed base and higher lithography tool utilization levels at certain customers. The Logic sector experienced a slower ramp of new nodes at some customers, leading to multiple fab push-outs and changes in the timing of demand. The Memory sector was stronger in 2024 due to technology transitions driven by artificial intelligence (AI)-related Memory demand. China saw the largest absolute geographic sales growth in support of expanding capacity to meet worldwide demand and was able to catch up on the backlog of orders that were previously unfulfilled due to supply constraints. The increase in non-current assets in the Netherlands during 2024 relates to capitalization of development expenditures in R&D, the construction of factory and research facility expansions and office space at our headquarters in Veldhoven, in order to support our continued growth. In the US the increase is primarily related to the expansion of the Wilton factory site. Segment performance is also evaluated by our Chief Operating Decision Maker (based on US GAAP) for total assets. The table below presents the measurements and the reconciliation to total assets in the Consolidated statement of financial position: Year ended December 31 (€, in millions) 2023 2024 Total assets (based on US GAAP) 39,957.5 48,589.6 Development expenditures (Note A) 3,201.2 4,117.9 Income taxes (80.1) (141.0) Total assets based on EU-IFRS 43,078.6 52,566.5 The above differences between US GAAP and EU-IFRS mainly relate to the following: Note A – Development Expenditures Under EU-IFRS, we apply IAS 38, "Intangible Assets". In accordance with IAS 38, we capitalize certain development expenditures that are amortized over the expected useful life of the related product generally ranging between one and five years. Under US GAAP, we apply ASC 730, "Research and Development". In accordance with ASC 730, we charge costs relating to research and development to operating expense as incurred. 4. Cash and cash equivalents and short-term investments Accounting policy Cash and cash equivalents consist primarily of highly liquid investments, such as bank deposits, deposits with governments and government-related bodies, money market funds and bank accounts readily convertible to known amounts of cash with insignificant interest rate risk and original maturities to the entity holding the investments for 3 months or less at the date of acquisition. Investments with original maturities at the date of acquisition greater than three months and one year or less are presented as short-term investments. Fair value changes in these investments, which are not temporary, are recognized in the Consolidated statement of profit or loss. Short-term investments have insignificant interest rate risk. Cash and cash equivalents and short-term investments consist of the following: Year ended December 31 (€, in millions) 2023 2024 Deposits with financial institutions, governments and government-related bodies 1,348.7 4,850.4 Investments in money market funds 3,167.4 6,379.2 Bank accounts 2,488.6 1,506.3 Cash and cash equivalents 7,004.7 12,735.9 Deposits with financial institutions, governments and government-related bodies 5.4 5.4 Short-term investments 5.4 5.4 Cash and cash equivalents mainly increased due to net cash provided by operating activities, driven by net income and down payments. This increase is partly offset by purchases of property, plant and equipment, purchases of treasury shares, loans issued and dividend paid. Deposits with financial institutions, governments and government-related bodies and investments in money market funds have an investment-grade credit rating as rated by credit rating institutions such as Standard & Poor's, Moody’s or Fitch. Our cash and cash equivalents are predominantly denominated in euros and to some extent in US dollars, Taiwanese dollars, South Korean won and Chinese yuan. The carrying amount of these assets approximates their fair value. As of December 31, 2024, no restrictions on usage of cash and cash equivalents exist (2023: no restrictions). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 340 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
5. Accounts receivable, net Accounting policy Accounts receivable are initially measured at fair value and are subsequently measured at amortized cost, less allowance for credit losses, if material. The carrying amount of the accounts receivable approximates the fair value. We perform ongoing credit evaluations on our customers’ financial condition. We periodically review whether an allowance for credit losses is needed by considering factors such as historical payment experience, credit quality, aging of the accounts receivable balances, expected lifetime losses and current economic conditions that may affect a customer’s ability to pay. When entering into arrangements to sell our receivable, we derecognize the receivable only when meeting the derecognition criteria. The criteria require isolation from the seller, granting the buyer the right to pledge or exchange the receivables, and legal transfer of substantially all risks and rewards over the receivable. Accounts receivable consist of the following: Year ended December 31 (€, in millions) 2023 2024 Accounts receivable, gross 4,334.1 4,477.5 Allowance for credit losses — — Accounts receivable, net 4,334.1 4,477.5 The increase in accounts receivable as of December 31, 2024, compared to December 31, 2023, is mainly due to the timing of cash receipts from our customers, which is partially offset by increased factoring of receivables. In 2024, €2,042.7 million of receivables were sold through factoring arrangements (2023: €993.4 million). The amounts consist of €1,639.9 million (2023: €245.8 million) of regular trade receivables and €402.8 million (2023: €747.6 million) of absolute, unconditional, irrevocable accounts receivable for down payments on systems to be shipped in 2025 and thereafter. These receivables have been derecognized, since the assets were isolated from the seller, control was transferred to the buyer and there were no restrictions on the buyer related to the factored items. The fair value of the receivables sold was substantially the same as their carrying value. The cash receipt is treated as an operating cash flow within the Consolidated statements of cash flows. Accounts receivable are impaired and provided for on an individual basis. As of December 31, 2024, accounts receivable of €1,258.2 million (2023: €1,208.7 million) were past due but not impaired. The average days outstanding increased to 58 days in 2024 from 57 days in 2023. These balances are still considered to be recoverable because they relate to customers for whom there is no recent history of default and there has not been a significant change in credit quality. The table below shows the aging analysis of the accounts receivable that are up to three months past due and over three months past due. Accounts receivable are past due when the payment term has expired. As of December 31 (€, in millions) 2023 2024 Up to three months past due 1,111.6 1,116.4 Over three months past due 97.1 141.8 Total past due 1,208.7 1,258.2 In 2024 and 2023, we did not record any expected credit losses for accounts receivable on system sales. 6. Finance receivables, net Accounting policy Finance receivables consist of receivables in relation to finance leases. We perform ongoing credit evaluations of our customers’ financial condition. We periodically review whether an allowance for credit losses is needed by considering factors such as historical payment experience, credit quality, the aging of the finance receivables balances, expected lifetime losses and current economic conditions that may affect a customer’s ability to pay. The following table lists the components of the finance receivables as of December 31, 2024 and 2023: Year ended December 31 (€, in millions) 2023 2024 Finance receivables, gross 1,439.8 399.8 Unearned interest — — Finance receivables, net 1,439.8 399.8 Current portion of finance receivables, gross 1,379.2 82.6 Current portion of unearned interest — — Non-current portion of finance receivables, net 60.6 317.2 The decrease in finance receivables as of December 31, 2024, compared to December 31, 2023, is the result of systems being purchased at the end of their free-use or evaluation periods. These finance leases support the capacity ramp-up of high-end systems which are part of the early-insertion life cycle of the technology or system type. It is expected that these systems will be purchased at the end of the free-use or evaluation period. Gross profit recognized at the commencement date of the lease for our finance leases amounted to €114.3 million during 2024 (2023: €460.9 million; 2022: €429.1 million). As of December 31, 2023 and 2024, the minimum lease payments and present value of minimum lease payments is: STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 341 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Minimum lease payments Present value of minimum lease payments Year ended December 31 (€, in millions) 2023 2024 2023 2024 Not later than one year 1,379.2 82.6 1,379.2 82.6 Later than one year and not later than two years 60.6 317.2 60.6 317.2 Total 1,439.8 399.8 1,439.8 399.8 Less: unearned interest — — — — Present value of minimum lease payments receivable 1,439.8 399.8 1,439.8 399.8 In 2024 and 2023 we did not record any expected credit losses from finance receivables. As of December 31, 2024, the finance receivables were neither past due nor impaired. 7. Inventories, net Accounting policy Inventory costs are computed on a first-in, first-out basis. Our inventory values comprise purchased materials, freight expenses, customs, duties, production labor and overhead. The valuation of inventory includes determining which fixed production overhead costs should be capitalized into inventory based on the normal capacity of our manufacturing and assembly facilities. During periods when production is below our established normal capacity level, some of our fixed overhead costs are not included in the cost of inventory; instead, they are recognized as cost of sales as incurred. Inventory is valued at the lower of cost or net realizable value, based on assumptions about future demand and market conditions. Valuation of inventory also requires us to establish provisions for inventory that is defective, obsolete or in excess. We use our demand forecast to develop manufacturing plans and utilize this information to compare against raw materials and work-in-progress and finished product levels to determine the amount of defective, obsolete or excess inventory. Inventories consist of the following: Year ended December 31 (€, in millions) 2023 2024 Raw materials 4,057.3 4,911.2 Work-in-process 3,388.1 4,872.3 Finished products 2,098.5 2,019.5 Inventories, gross 9,543.9 11,803.0 Allowance for obsolescence (693.2) (911.5) Inventories, net 8,850.7 10,891.5 The increase in inventory in 2024, compared to 2023, is mainly driven by the introduction of EXE. Additionally, inventory increased in 2024 due to higher costs and longer cycle times of our latest technologies and growing install base. A summary of movements in the inventory reserves is as follows: Year ended December 31 (€, in millions) 2023 2024 Balance at beginning of year (466.9) (693.2) Addition for the year (485.3) (554.7) Effect of changes in exchange rates 2.4 (1.7) Utilization of the provision 256.6 338.1 Balance at end of year (693.2) (911.5) The additions for 2024, 2023 and 2022 are recorded in cost of sales. The additions for the year mainly relate to inventory items which became obsolete due to technological developments and design changes. The cost of inventories recognized in cost of sales in 2024 amounted to €11,010.3 million (2023: €10,742.6 million; 2022: €7,999.0 million). 8. Other assets Other current and non-current assets consist of the following: Year ended December 31 (€, in millions) 2023 2024 Advance payments to Carl Zeiss SMT GmbH1 691.9 815.8 Prepaid expenses 147.4 175.3 VAT receivable 302.2 279.1 Other assets 92.6 193.4 Other current assets  1,234.1 1,463.6 Advance payments to Carl Zeiss SMT GmbH1 490.8 599.9 Prepaid expenses 40.9 49.5 Compensation plan assets 95.2 113.1 Other assets 13.8 28.3 Other non-current assets  640.7 790.8 1. For further details on advance payments to Carl Zeiss SMT GmbH, see Note 26. Related parties. The carrying amount of the non-current and current other assets approximates the fair value. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 342 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
9. Investments in associates Accounting Policy Equity investments which we are able to exercise significant influence over but do not control, are accounted for using the equity method and presented on our Consolidated statement of financial position within Investments in associates. The difference between the cost of our investment and our proportionate share in the carrying value of the investee’s underlying net assets as of the acquisition date is the basis difference. The basis difference is allocated to the identifiable assets and liabilities based on their fair value as of the acquisition date (i.e. the date on which we obtain significant influence), with the excess costs of the investment over our proportional fair value of the identifiable assets and liabilities being equity method goodwill. We amortize the basis difference related to the other intangible assets over the estimated remaining useful lives of these assets that gave rise to this difference. The remaining weighted-average life of the finite-lived intangible assets acquired is 12.1 years and is amortized using a straight-line method. In-process R&D is initially capitalized at fair value as an intangible asset not yet ready for use and is assessed for impairment thereafter. When the R&D project is complete, it is reclassified as an amortizable purchased intangible asset and is amortized over its estimated useful life. If the project is abandoned, we will record the full basis difference charge for the value of the related intangible asset in our Consolidated statement of profit or loss in the period of abandonment. Equity method goodwill is not amortized or tested for impairment; instead the equity method investment is tested for impairment whenever events or changes in circumstances indicate that the carrying value of the investment may not be recoverable. Under the equity method, after initial recognition at cost, our Investments in associates are adjusted for our proportionate share in the profit or loss and other comprehensive income of the investee, recognized on a one- quarter time lag to allow for the timely preparation of financial information and presented within Profit from investments in associates. Our proportionate share in the profit or loss of the investee is adjusted for any differences in accounting principles and policies, basis difference adjustments and intra-entity profits. Receipt of dividends reduces our Investments in associates, which is presented as an operating cash flow based on the nature of the distributions. Investments in associates consists of a 24.9% equity interest acquired on June 29, 2017 in Carl Zeiss SMT Holding GmbH & Co. KG, a limited partnership that owns Carl Zeiss SMT GmbH, our single supplier of optical columns. For the year ended December 31, 2024, we recorded a profit related to investments of €209.8 million (2023: €191.3 million) in the Consolidated statement of profit or loss. This profit includes the following components: • Profit of €216.4 million (2023: €212.1 million) related to our share of Carl Zeiss SMT Holding GmbH & Co. KG’s net income after accounting policy alignment • Cost due to basis difference amortization related to intangible assets of €27.4 million (2023: €26.7 million) • Cost/(Gain) due to intercompany profit elimination of €(20.8) million (2023: €(5.9) million) In 2024, we received a dividend of €225.4 million (2023: €218.0 million) from Carl Zeiss SMT Holding GmbH & Co. KG. Carl Zeiss SMT Holding GmbH & Co. KG is a privately held company; therefore, quoted market prices for its stock are not available. The summarized financial information of Carl Zeiss SMT Holding GmbH & Co. KG excluding basis difference adjustments, before accounting policy alignment, and using the one-quarter time lag is as follows: Year ended December 31 (€, in millions) 2023 2024 Summarized Statement of Financial Position: Total non-current assets 1,652.4 1,931.0 Total current assets 2,988.6 3,458.8 Total non-current liabilities 1,230.4 1,776.0 Total current liabilities 2,829.3 3,042.4 Summarized Statement of Profit or Loss and Comprehensive Income: Total net sales 3,499.1 4,053.7 Net income 941.3 934.3 Other comprehensive income (0.7) (39.8) Total comprehensive income 940.6 894.5 The reconciliation of our proportionate share of Carl Zeiss SMT Holding GmbH & Co. KG’s net income and the profit related to investments in associates as reported in our Consolidated statement of profit or loss is as follows: Year ended December 31 (€, in millions) 2023 2024 Net income 941.3 934.3 ASML's share of net income 234.4 232.6 Accounting policy alignment (22.3) (16.2) Basis difference adjustments (26.7) (27.4) Intercompany profit elimination 5.9 20.8 ASML profit related to investments in associates 191.3 209.8 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 343 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
10. Business combinations and divestitures Accounting policy Acquisitions of subsidiaries are included on the basis of the acquisition method. The cost of acquisition is measured based on the consideration transferred at fair value, the fair value of identifiable assets distributed and the fair value of liabilities incurred or assumed at the acquisition date (i.e. the date on which we obtain control). Goodwill is capitalized as the excess of the costs of an acquired subsidiary, net of the amounts assigned to identifiable assets acquired and liabilities incurred or assumed. Acquisition-related costs are expensed when incurred in the period in which they arise or the service is received. Business combinations During 2023 we concluded the acquisition of EO Technical Solutions, LLC, which functions as a parts repair and rebuild services company. In 2023, we also acquired part of the semiconductor equipment activities from Philips Engineering Solutions. The total related goodwill of €33.0 million has been allocated to the ASML cash-generating unit. 11. Goodwill Accounting policy Goodwill represents the excess of the costs of an acquisition over the fair value of the amounts assigned to assets acquired and liabilities incurred or assumed of the acquired subsidiary at the date of acquisition. Goodwill on acquisition of subsidiaries is allocated to CGUs for the purpose of impairment testing. The allocation is made to those CGUs that are expected to benefit from the business combination in which the goodwill arose. Goodwill is stated at cost less accumulated impairment losses. Goodwill is tested for impairment annually or whenever events or changes in circumstances indicate that the carrying amount of the goodwill may not be recoverable. If the recoverable amount of the CGU is less than the carrying amount of the unit, the impairment loss is allocated first to reduce the carrying amount of any goodwill allocated to the unit and then to the other assets of the unit pro-rata on the basis of the carrying amount of each asset in the unit. An impairment loss recognized for goodwill is not reversed in a subsequent period. Goodwill mainly results from the acquisitions of Cymer and HMI. The balance as of December 31, 2024, is €4,610.1 million (2023: €4,610.1 million). We have identified two CGUs, CGU ASML and CGU Cymer Light Sources. As of December 31, 2024 the goodwill allocated to CGU ASML amounts to €4,152.2 million (2023: €4,152.2 million) and CGU Cymer Light Sources amounts to €457.9 million (2023: €457.9 million). The recoverable amounts of the CGUs are based on value in use calculations consistently with prior reporting periods. The value in use calculations were performed by discounting the pre-tax future cash flows generated from the continuing use of the CGUs. Cash flows beyond the forecasted period of five years have been extrapolated using a 2% growth rate. These estimates are consistent with the plans and estimated costs we use to manage our underlying business. The pre-tax WACC used to determine the expected discounted future cash flows is 11.7% for CGU ASML and 10.4% for CGU Cymer Light Sources. Based on our assessment during the annual goodwill impairment test, we believe it is more likely than not that the recoverable amounts of the CGUs exceed their carrying amounts, and therefore goodwill was not impaired as of December 31, 2024. The accumulated impairment as of December 31, 2024 is nil (2023: nil). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 344 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
12. Intangible assets, net Accounting policy Intangible assets include internally-generated intangible assets, brands, intellectual property, developed technology, customer relationships and other intangible assets not yet available for use. These finite-lived intangible assets are stated at cost, less accumulated amortization and accumulated impairment losses. Amortization is calculated using the straight-line method based on the estimated useful lives of the assets. Finite-lived intangible assets are assessed for impairment annually, or whenever there is an indication that the balance sheet carrying amount may not be recoverable using cash flow projections for the useful life. The following table shows the respective useful lives for intangible assets: Category Estimated useful life Brands 20 years Development expenditures 1 – 5 years Intellectual property 3–10 years Developed technology 6–15 years Customer relationships 8–18 years Other 2–10 years Internally-generated intangible assets – development expenditures Expenditures on research activities are recognized as costs in the period in which they are incurred. EU-IFRS requires capitalization of development expenditures provided if, and only if, certain criteria can be demonstrated. An internally-generated intangible asset arising from our development is recognized only if we can demonstrate all of the following conditions: • The technical feasibility of completing the intangible asset so that it will be available for use or sale • The intention to complete the intangible asset and use or sell it • The ability to use or sell the intangible asset • The probability that the asset created will generate future economic benefits • The availability of adequate technical, financial and other resources to complete the development and to use or sell the intangible asset • The ability to measure reliably the expenditure attributable to the intangible asset during its development For certain development programs, it was not possible to separate development activities from research activities (approximately €351.0 million and €271.0 million for 2024 and 2023, respectively). Consequently, we are not able to reliably determine the amount of development expenditures incurred and therefore no amounts were capitalized for these programs. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 345 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
As of December 31, 2024, intangible assets consist mainly of development expenditures, brands, intellectual property, developed technology and customer relationships obtained from the acquisitions of HMI (2016) and Cymer (2013): €, in millions Development expenditures Brands Intellectual property Developed technology Customer relationships Other Total Cost Balance at January 1, 2023 4,850.9 38.9 147.1 1,220.2 228.6 222.5 6,708.2 Additions 945.4 — — — — 39.3 984.7 Disposals — — — — — (0.3) (0.3) Effect of changes in exchange rates (1.0) — — — — (1.4) (2.4) Balance at December 31, 2023 5,795.3 38.9 147.1 1,220.2 228.6 260.1 7,690.2 Additions 1,122.7 — — — — 14.3 1,137.0 Disposals — — — — — (0.6) (0.6) Effect of changes in exchange rates 3.1 — — — — (0.1) 3.0 Balance at December 31, 2024 6,921.1 38.9 147.1 1,220.2 228.6 273.7 8,829.6 Accumulated amortization Balance at January 1, 2023 2,347.6 14.9 95.8 677.4 121.3 105.5 3,362.5 Amortization 256.2 1.9 8.3 76.8 12.7 27.9 383.8 Impairment charges — — — — — 11.1 11.1 Disposals — — — — — (0.3) (0.3) Effect of changes in exchange rates — — — — — (0.1) (0.1) Balance at December 31, 2023 2,603.8 16.8 104.1 754.2 134.0 144.1 3,757.0 Amortization 208.0 1.9 8.3 74.5 12.6 28.7 334.0 Impairment charges — — — — — 8.0 8.0 Disposals — — — — — (0.5) (0.5) Effect of changes in exchange rates 1.7 — — — — 0.5 2.2 Balance at December 31, 2024 2,813.5 18.7 112.4 828.7 146.6 180.8 4,100.7 Carrying amount December 31, 2023 3,191.5 22.1 43.0 466.0 94.6 116.0 3,933.2 December 31, 2024 4,107.6 20.2 34.7 391.5 82.0 92.9 4,728.9 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 346 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Development expenditures in 2024 were primarily focused on programs supporting our Holistic Lithography solutions in EXE, NXE, DUV and Applications. In 2024, these activities mainly related to the development of EXE, our next generation 0.55NA system, NXE:3800E, NXT:870B, NXT:2150i, XT:260 and further development of YieldStar. Of our Intangible assets at December 31, 2024, €4,200.5 million have been generated internally (December 31, 2023: €3,307.5 million). These intangibles are included in Development Expenditures and Other. The Consolidated statement of profit or loss include the following amortization charges: Year ended December 31 (€, in millions) 2022 2023 2024 Cost of Sales 398.2 358.9 311.8 R&D Costs 18.2 19.5 20.8 SG&A 11.0 5.4 1.4 Total Amortization 427.4 383.8 334.0 As of December 31, 2024, the other intangible assets not yet available for use, included in the development expenditures amount to €3,710.2 million (2023: €2,598.7 million) and are allocated to CGU ASML for €3,694.8 million and to CGU Cymer Light Sources for €15.4 million. This increase is mainly due to the continued development of EXE in 2024. As of December 31, 2024, the intangible assets not yet available for use, as included in Other, amount to €11.8 million (2023: €37.3 million) and are allocated to CGU ASML. As of December 31, 2024, the estimated amortization expenses for intangible assets for the next five years and thereafter are as follows: €, in millions Amount 2025 845.5 2026 965.8 2027 937.8 2028 883.4 2029 806.2 Thereafter 290.2 Total 4,728.9 13. Property, plant and equipment, net Accounting policy Property, plant and equipment is stated at cost, less accumulated depreciation and accumulated impairment losses. Costs of assets manufactured by ASML include direct manufacturing costs, production overhead and interest costs incurred for qualifying assets during the construction period. Property, plant and equipment are depreciated on a straight-line basis in the Consolidated statement of profit or loss over their estimated useful lives, except for land, which is not depreciated. Government grants related to assets are recognized where there is reasonable assurance that the grants will be received and that we will comply with the conditions attached to them. Government grants are presented as a deduction of the carrying amount of the asset they relate to and recognized in the Consolidated statement of profit or loss on a systematic basis over the useful life of the asset. Evaluation systems leased to our customers under an operating lease are capitalized as Property, plant and equipment at cost and depreciated over the respective lease term. Leased assets that are returned to ASML upon expiration of the lease term are either taken back into Property, plant and equipment, as they will be used internally by D&E or transferred back to Inventories to be reworked and sold. The carrying values of prototypes, tooling and equipment that are intended to be sold, but first internally utilized for R&D purposes, are reclassified from inventories to Property, plant and equipment and depreciated while being internally used. When no longer required for R&D activities, the assets’ carrying value is reclassified back to Inventories and reworked to make them ready for sale to our customers. These transfers are reported as Net non- cash movements to/from inventories in our Property, plant and equipment movement schedule. Property, plant and equipment is assessed for impairment whenever there is an indication that the carrying amount may not be recoverable using cash flow projections for the useful life. The following table shows the respective useful lives for Property, plant and equipment: Category Estimated useful life Buildings 5–45 years Machinery and equipment 1–7 years Leasehold improvements 1–10 years Furniture, fixtures and other 3–5 years STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 347 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Property, plant and equipment consists of the following: Cost Balance at January 1, 2023 3,314.0 2,777.6 400.8 497.0 6,989.4 Additions 1,019.3 1,050.2 79.7 94.4 2,243.6 Disposals (1.6) (45.1) (0.8) (2.1) (49.6) Net non-cash movements to/from Inventories — (75.3) — — (75.3) Effect of changes in exchange rates (8.3) (17.4) (1.2) (1.4) (28.3) Balance at December 31, 2023 4,323.4 3,690.0 478.5 587.9 9,079.8 Additions 1,120.1 756.5 116.9 65.8 2,059.3 Disposals (3.2) (45.6) (0.3) (7.6) (56.7) Net non-cash movements to/from Inventories — (40.0) — — (40.0) Effect of changes in exchange rates 8.1 (1.7) (0.6) 12.5 18.3 Balance at December 31, 2024 5,448.4 4,359.2 594.5 658.6 11,060.7 Accumulated depreciation and impairment Balance at January 1, 2023 1,090.6 1,312.3 331.9 310.4 3,045.2 Depreciation 154.2 352.0 31.0 68.4 605.6 Impairment charges 2.9 15.0 — — 17.9 Disposals (0.6) (37.7) (0.7) (2.0) (41.0) Net non-cash movements to/from Inventories — (29.3) — — (29.3) Effect of changes in exchange rates (4.0) (6.7) (0.7) (0.4) (11.8) Balance at December 31, 2023 1,243.1 1,605.6 361.5 376.4 3,586.6 Depreciation 169.4 506.8 38.4 72.7 787.3 Impairment charges 3.3 11.7 0.2 1.9 17.1 Disposals — (38.5) — (7.5) (46.0) Net non-cash movements to/from Inventories — (136.4) — — (136.4) Effect of changes in exchange rates 4.0 0.4 0.4 0.5 5.3 Balance at December 31, 2024 1,419.8 1,949.6 400.5 444.0 4,213.9 Carrying amount December 31, 2023 3,080.3 2,084.4 117.0 211.5 5,493.2 December 31, 2024 4,028.6 2,409.6 194.0 214.6 6,846.8 €, in millions Land and buildings Machinery and equipment Leasehold improvements Furniture, fixtures and other Total STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 348 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
As of December 31, 2024, the carrying amount includes assets under construction of €1,729.7 million (2023: €1,658.0 million) primarily consisting of buildings, as well as machinery and equipment. As of December 31, 2024, the carrying amount of land amounts to €304.3 million (2023: €229.7 million). The additions in 2024 in Land and buildings, as well as Furniture, fixtures and other mainly relate to the construction of factory and research facility expansions and office space at our headquarters in Veldhoven, in order to support our continued growth. The additions in 2024 in Machinery and equipment mainly relate to the upgrade and expansion of production tooling to support the growth of our business, as well as investments in prototypes of new technologies. The additions in 2024 in Leasehold improvements mainly relate to installation of cleanrooms and office space for leased properties in both the US and Berlin. The Consolidated statement of profit or loss includes the following depreciation charges: Year ended December 31 (€, in millions) 2022 2023 2024 Cost of Sales 248.2 330.4 398.4 R&D Costs 163.7 236.2 340.5 SG&A 33.3 39.0 48.4 Total Depreciation 445.2 605.6 787.3 14. Right-of-use assets and lease liabilities Accounting policy We determine whether an arrangement contains a lease at inception. Leases are included in Right-of-use assets, Accrued & other current liabilities and Accrued & other non-current liabilities in our Consolidated statement of financial position. Right-of-use assets represent our right to use an underlying asset for the lease term and lease liabilities represent our obligation to make lease payments arising from the lease. Right-of-use assets and lease liabilities are recognized at commencement date based on the present value of lease payments over the lease term. As our leases do not provide an implicit rate, we use our incremental borrowing rate based on the information available at commencement date in determining the present value of lease payments. The Right-of-use assets include any lease payments made at or before the commencement date and are reduced by lease incentives. Our Right-of-use asset and lease liability valuation may include options to extend or terminate the lease when it is reasonably certain that we will exercise that option. Depreciation charges are recognized on a straight-line basis over the lease term. We have lease agreements with lease and non-lease components. The lease components are accounted for separately from non-lease components. The allocation of the consideration between lease and non-lease components is based on the relative standalone prices of lease components included in the lease contracts. Right-of-use assets consist of the following leases: Year ended December 31 (€, in millions) 2023 2024 Properties 270.3 333.7 Cars 5.4 7.6 Warehouses 30.3 42.6 Other 0.6 3.3 Right-of-use assets 306.6 387.2 ASML owns the majority of real estate we utilize for manufacturing, supply chain management, R&D and general administration at our headquarters in Veldhoven, the Netherlands. Our other locations worldwide, mostly related to customer support, are leased. The total right-of-use assets related to properties includes a new finance lease arrangement for land of €32 million. The right-of-use assets increased in 2024 compared to 2023 mainly due to new land and warehouse leases and extensions of existing leases. Lease liabilities are split between current and non-current. The non-current portion mainly consists of properties and warehouses and is presented as part of Accrued and other liabilities. For the year ended December 31, 2024, Lease Liabilities increased by €78.1 million, mainly due to lease extensions and new leases of properties that commenced during 2024, of which €16.9 million relates to a finance lease. Year ended December 31 (€, in millions) 2023 2024 Current 46.7 68.6 Non-current 181.2 237.4 Lease liabilities 227.9 306.0 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 349 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
The Consolidated statement of profit or loss include the following depreciation charges relating to these leases. Year ended December 31 (€, in millions) 2022 2023 2024 Properties 52.3 40.4 50.2 Cars 2.7 5.9 6.1 Warehouses 4.0 5.9 15.0 Other 1.4 0.8 2.2 Depreciation charge right-of-use assets 60.4 53.0 73.5 The total cash flows relating to the leases are as follows: Year ended December 31 (€, in millions) 2022 2023 2024 Total cash flows 57.9 148.2 96.3 The total cash flow decreased in 2024 compared to 2023 due to fewer prepayments of new land leases in 2024 compared to 2023. The weighted average remaining lease term and weighted average discount rate related to the leases are as follows: Year ended December 31 (€, in millions) 2022 2023 2024 Weighted average remaining lease term (months) 67 365 296 Weighted average discount rate (%) 2.2% 2.5% 3.0% The weighted average remaining lease term increased in 2023 due to a new land lease which has a lease term of 70 years In 2024 the weighted average remaining lease term decreased due to new land lease additions with shorter lease terms compared to 2023. 15. Accrued and other liabilities Accrued and other liabilities consist of the following: Year ended December 31 (€, in millions) 2023 2024 Costs to be paid1 632.7 536.1 Personnel-related items 1,328.5 1,599.6 Lease liabilities 2 227.2 306.0 Provisions 76.7 100.8 Standard warranty reserve 142.3 158.9 Refund liability — 309.4 Other 14.4 21.7 Accrued and other liabilities 2,421.8 3,032.5 Less: non-current portion of accrued and other liabilities 338.4 430.2 Current portion of accrued and other liabilities  2,083.4 2,602.3 1. Costs to be paid includes an amount payable to related parties. For further details, see Note 26 Related parties. 2. For further details on lease liabilities, see Note 14 Right-of-use assets and lease liabilities. Costs to be paid represent ASML’s estimate of contractual liability as of the reporting date, to be settled in a future period, based upon the underlying terms and conditions. Costs to be paid as of December 31, 2024, include VAT payables and accrued costs for unbilled services provided by suppliers, including contracted labor, outsourced services and consultancy. Personnel-related items mainly consist of accrued annual short-term incentive (STI) bonus plans, accrued vacation days, accrued pension premiums, accrued wage tax and accrued vacation allowance. The increase in the accrued personnel-related items compared to prior year is primarily attributable to an increase in the number of FTEs, higher wages and related cost, to support the continued growth of our business. The refund liability represents the amount of consideration received from customers that ASML does not expect to be entitled to. Refund liabilities do not meet the definition of a contract liability. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 350 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
The standard warranty reserve is based on historical product performance and total expected costs to fulfill our warranty obligation. Annually, we assess and update the standard warranty reserve based on the latest actual historical warranty costs and expected future warranty costs. Total changes in standard warranty reserve for the years 2024 and 2023 are as follows: Year ended December 31 (€, in millions) 2023 2024 Balance at beginning of year 143.6 142.3 Additions for the year 232.2 210.0 Utilization of the reserve (233.3) (193.5) Effect of exchange rates (0.2) 0.1 Balance at end of year 142.3 158.9 16. Long-term debt, finance income and finance costs Accounting policy Long-term debt represents debt issued privately without registration with a government authority and is payable to others under the terms of a signed agreement. Long-term debt is initially recognized at fair value and subsequently measured at amortized cost. Debt is qualified as long-term debt as long as the group has an unconditional right to defer settlement of the liability for at least 12 months after the reporting period. Interest accruals and payments relating to long-term debt are accounted for as part of Accrued and other liabilities. Interest and other costs should be accrued and recorded with the passage of time over the agreed term, regardless of when the interest receipt or payment has taken place. Long-term debt consists of the following (amounts for bonds represent carrying amount, not the principle amount): Year ended December 31 (€, in millions) 2023 2024 €1,000 million 1.375% senior notes issued July 2016 and principal due July 7th 2026 interest annually payable on July 7th, carrying amount 936.8 967.7 €750 million 1.625% senior notes issued November 2016 and principal due May 28th 2027 interest annually payable on May 28th, carrying amount 701.3 720.1 €750 million 0.250% senior notes issued February 2020 and principal due February 25th 2030 interest annually payable on February 25th, carrying amount 743.7 744.8 €750 million 0.625% senior notes issued May 2020 and principal due May 7th 2029 interest annually payable on May 7th, carrying amount 747.9 748.3 €500 million 2.250% senior notes issued May 2022 and principal due May 17th 2032 interest annually payable on May 17th, carrying amount 472.1 478.2 €1,000 million 3.500% senior notes issued June 2023 and principal due December 6th 2025 interest annually payable on December 6th, carrying amount 1,008.6 1,010.3 Debt acquired from Berliner Glas (ASML Berlin GmbH) 20.5 18.2 Other 0.7 — Long-term debt 4,631.6 4,687.6 Less: current portion of long-term debt 0.1 1,010.3 Non-current portion of long-term debt 4,631.5 3,677.3 All senior notes are redeemable at the option of ASML, in whole or in part, at any time by paying a make whole premium, and unless previously redeemed, will be redeemed at 100% of their principal amount on the maturity date. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 351 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Our obligations to make principal repayments under our senior notes and other borrowing arrangements excluding interest expense as of December 31, 2024 are as follows: €, in millions Amount 2025 1,001.8 2026 1,001.8 2027 751.8 2028 1.8 2029 751.8 Thereafter 1,259.1 Total debt maturities 4,768.1 Eurobonds The following table summarizes the carrying amount of our outstanding Eurobonds, including the fair value of interest rate swaps used to hedge the change in the fair value of the Eurobonds: Year ended December 31 (€, in millions) 2023 2024 Amortized cost amount 4,731.7 4,736.9 Fair value interest rate swaps1 (121.3) (67.5) Carrying amount 4,610.4 4,669.4 1. The fair value of the interest rate swaps excludes accrued interest. We use interest rate swaps to minimize the net interest exposure for the group by aligning the interest terms of the available cash and the interest-bearing debt. The fair value changes of these interest rate swaps are recorded on the Consolidated statement of financial position under derivative financial instruments and the carrying amount of the Eurobonds is adjusted for these fair value changes. The following table summarizes the estimated fair value of our Eurobonds: Year ended December 31 (€, in millions) 2023 2024 Principal amount 4,750.0 4,750.0 Carrying amount 4,610.4 4,669.4 Fair value1 4,496.2 4,561.8 1. Source: Bloomberg Finance LP. The fair value of our Eurobonds is estimated based on quoted market prices as of December 31, 2024. The fair value deviates from the principal amount, due to changes in market interest rates and credit spreads since the issue of our Eurobonds, which carry a fixed coupon interest rate. The following table summarizes changes in liabilities arising from financing activities, including both changes arising from cash flows and non-cash changes: €, in millions 2023 2024 Balance at January 1 4,258.1 4,630.8 Cash flows 248.1 (2.3) Non-cash changes: Fair value adjustments 121.9 53.8 Other 2.7 5.3 Balance at December 31 4,630.8 4,687.6 Debt acquired from Berliner Glas (ASML Berlin GmbH) The loan of Berliner Glas (ASML Berlin GmbH) is a mortgage loan of €18.2 million with an annual interest rate of 0.5% repayable in 2034. Debt decreased compared to 2023, due to repayments made in 2024. Lines of credit We maintain an available committed credit facility of €1,500.0 million as of December 31, 2024 (2023: €700.0 million), with a group of banks. No amounts were outstanding under the committed credit facility at the end of 2024 and 2023. This facility has a maturity date of May 2029 with two one year uncommitted extension options on the first and second anniversary of the facility (extending the maturity potentially to 2031). Outstanding amounts under this credit facility will bear an interest of Euribor plus a margin. The margin depends on our credit rating. In addition, there is a fee based on the utilization percentage of the facility. ASML also has non-committed lines of credit available. These facilities provide ASML with the ability to request short-term unsecured loans from time to time for an aggregate amount not exceeding €2.75 billion. No amounts have been drawn under these lines of credit. Outstanding amounts under the non-committed facility will bear interest based on market conditions at the moment of drawdown. Furthermore, ASML has non-committed guarantee facilities under which guarantees in the ordinary course of business, such as customs or rental guarantees, can be provided to third parties. These facilities also cover standby letters of credit, corporate credit cards and foreign exchange limits and are available in Euro, US dollar, Japanese yen and Taiwanese dollar. As of December 31, 2024 amounts of €44.1 million (2023: €46.9 million), JPY 4,825.0 million (2023: JPY nil) and TWD 553.7 million (2023: TWD nil ) were utilized under these facilities. In 2024 ASML entered into a €1.5 billion Euro Commercial Paper (ECP) program. The program allows ASML to issue commercial paper up to 364 days in tenor, in a number of currencies. As of December 31, 2024, there is no commercial paper outstanding under this program. Finance income Finance income is €182.4 million (2023: €193.9 million; 2022: €16.2 million). Income mainly relates to interest income on cash and cash equivalents. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 352 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Finance costs Finance costs are €162.6 million (2023: €152.7 million; 2022: €60.8 million). The expenses mainly relate to interest expense on our Eurobonds and interest rate swaps. 17. Commitments and contingencies Commitments We have various contractual obligations, some of which are required to be recorded as liabilities in our Consolidated statement of financial position, including long- and short-term debt and lease commitments. Other contractual obligations, namely unconditional purchase obligations, are generally not required to be recognized as liabilities but are required to be disclosed. Our contractual obligations as of December 31, 2024 can be summarized as follows: Payments due by period (€, in billions) Total 1 year 2 years 3 years 4 years 5 years >5 years Long-term debt obligations, including interest1 5.0 1.1 1.0 0.8 — 0.8 1.3 Lease obligations2 0.3 0.1 0.1 — — — 0.1 Purchase obligations 13.3 9.9 2.2 0.8 0.2 0.1 0.1 Total contractual obligations 18.6 11.1 3.3 1.6 0.2 0.9 1.5 1. Long-term debt obligations mainly relate to principal amounts and interest payments of our Eurobonds. For the amounts excluding interest expenses and for further details, see Note 16 Long-term debt, finance income and finance costs. 2. For further details, see Note 14 Right-of-use assets and lease liabilities. We have purchase obligations toward suppliers in the ordinary course of business which mainly relate to goods and services for our operations and obligations relating to further expansion and upgrade of our facilities. The general terms and conditions of the agreements relating to the major part of our purchase obligations as of December 31, 2024, contain clauses that enable us to delay or cancel delivery of ordered goods and services up to the dates specified in the purchase agreements, in line with the timing of future sales. The terms and conditions that we normally agree with our suppliers give us additional flexibility to adapt our purchase obligations to our requirements in light of the cyclicality and technological developments inherent in the industry in which we operate. Contingencies ASML is subject to proceedings, litigation and other actual or potential claims, including those related to a potential violation of laws and regulations. ASML’s customers may be subject to claims of infringement from third parties alleging that the ASML equipment used by those customers in the manufacture of semiconductor products, and/or the methods relating to use of the ASML equipment, infringes one or more patents issued to those third parties. If these claims were successful, ASML could be required to indemnify such customers for some or all of the losses incurred or damages assessed against them as a result of that infringement. In connection with any proceedings and claims, our management evaluates, based on the relevant facts and legal principles, the likelihood of an unfavorable (or favorable) outcome, and whether the amount of the loss (or gain) can be reasonably estimated. Judgment is required in these evaluations, including judgments regarding the validity of asserted claims and the likely outcome of legal and administrative proceedings. The outcome of these proceedings, however, is subject to a number of factors beyond our control, most notably the uncertainty associated with predicting decisions by courts and administrative agencies. In addition, estimates of the potential costs (or gains) associated with legal and administrative proceedings frequently cannot be subjected to any sensitivity analysis, as damage estimates or settlement offers by claimants may bear little or no relation to the eventual outcome. Finally, in any particular proceeding, we may agree to settle or to terminate a claim or proceeding in which we believe that it would ultimately prevail where we believe that doing so, when taken together with other relevant commercial considerations, is more effective than engaging in an expensive and protracted litigation, the outcome of which is uncertain. As of December 31, 2024, management has determined that ASML does not have any material contingencies which are considered probable or reasonably possible for each year presented in our Consolidated statement of financial position. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 353 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
18. Personnel expenses and employee information Personnel expenses for all payroll employees were as follows: Year ended December 31 (€, in millions) 2022 2023 2024 Wages and salaries 3,283.1 4,666.4 5,001.2 Social security expenses 300.7 410.5 468.4 Pension and retirement expenses 255.9 348.9 395.2 Share-based payments 68.9 134.8 172.6 Personnel expenses 3,908.6 5,560.6 6,037.4 The continued increase in personnel expenses is primarily attributable to an increase in the number of FTEs, higher wages and related cost, to support the continued growth of our business. The average number of payroll employees in FTEs was: Average number of payroll employees in FTEs 2022 2023 2024 Netherlands 16,722 19,876 21,811 Worldwide (including Netherlands) 33,071 38,805 41,697 The total number of payroll and temporary employees as of December 31 in FTE per sector was: Year ended December 31 (in FTE) 2022 2023 2024 Customer Support and Sales 9,643 10,790 10,344 Manufacturing and Supply Chain Management 9,953 9,954 11,341 Strategic Supply Management 1,541 2,033 1,965 General and Administrative 3,768 4,035 4,385 Research and Development 14,181 15,604 15,992 Total 39,086 42,416 44,027 Less: Temporary employees 2,974 2,107 1,241 Payroll employees 36,112 40,309 42,786 Short-term incentive bonus plans We have annual performance-related STI bonus plans for our employees. Under these plans, the employee bonus payout depends on the employee’s job grade, the type of bonus plan and the company/individual performance. The employee bonus payout (excluding the Board of Management) ranges between 0% and 126% of their annual base gross salary. The 2024 STI bonus is accrued for as part of Accrued and other liabilities in the Consolidated statement of financial position and will be paid in the first quarter of 2025. The STI bonus expenses for the (former) Board of Management and other employees were as follows: Year ended December 31 (€, in millions) 2022 2023 2024 Board of Management 3.8 6.0 5.3 Former Board of Management1 — — 1.0 Other employees 410.2 932.0 816.8 Total STI bonus expenses 414.0 938.0 823.1 1. On April 24, 2024, Peter T.F.M. Wennink and Martin A. van den Brink stepped down from their roles as Presidents of ASML and are, therefore, presented as former Board of Management. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 354 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
19. Employee benefits Accounting policy Contributions to defined contribution retirement benefit plans are recognized as an expense when employees have rendered service entitling them to the contributions. Payments made to state-managed retirement benefit schemes are dealt with as payments to defined contribution plans where our obligations under the plans are equivalent to those arising in a defined contribution retirement benefit plan. We maintain one multi-employer union-defined benefit pension plan and various other defined contribution pension plans covering a substantial number of our employees. ASML accounts for its multi-employer defined benefit plan as if it were a defined contribution plan for the following reasons: • ASML is affiliated to an industry-wide pension fund and uses the pension scheme in common with other participating companies. • Under the regulations of the pension plan, the only obligation these participating companies have toward the pension fund is to pay the annual premium liability. Participating companies are under no obligation whatsoever to pay off any deficits the pension plan may incur. Nor have they any claim to any potential surpluses. Our pension and retirement expenses for all employees for the years ended December 31, 2024, 2023 and 2022, were: Year ended December 31 (€, in millions) 2022 2023 2024 Pension plan based on multi-employer union plan 181.2 244.4 276.3 Pension plans based on defined contribution and other plans 74.7 104.5 118.9 Pension and retirement expenses 255.9 348.9 395.2 The accrued pension premiums were €75.9 million as of December 31, 2024 and €39.2 million as of December 31, 2023. Multi-employer union plan In accordance with the collective bargaining agreements effective for the industry in which we operate, which have no expiration date, there are 23,082 eligible payroll employees in the Netherlands (53.9% of our total payroll FTEs) that participate in a multi-employer union plan. Our net periodic pension cost for this multi-employer union plan for any period is the amount of the required employer contribution for that period. This multi-employer union plan is managed by PME (Stichting Pensioenfonds van de Metalektro) and this plan covers approximately 1,566 companies and approximately 183,003 contributing members. Every participating company contributes a premium that is based on the same contribution rate. This contribution rate can fluctuate yearly based on the coverage ratio of the multi-employer union plan. For 2024, the contribution percentage was 28.0% (2023: 28.0%, 2022: 28.0%). For 2024, our contribution to this multi-employer union plan (including the premiums paid by employees) was 18.2% (2023: 18.3%, 2022: 15.7%) of the total contribution to this plan. For 2025, we expect to contribute around €402.0 million to this plan (including the premiums paid by employees). The pension rights of each employee are based upon the employee’s average salary during employment. The PME multi-employer union plan monitors its risks on a global basis and is subject to regulation by Dutch governmental authorities. By Dutch law (the Dutch Pension Act), a multi-employer union plan must be monitored against specific criteria, including the coverage ratio of the plan’s assets to its obligations. The coverage ratio is calculated by dividing the funds capital by the total sum of pension liabilities and is based on actual market interest rates. The legally required minimal coverage ratio is 104.3% (2023: 104.3%). Compared to the previous year, the coverage ratio of PME increased to 113.1% as per December 31, 2024 (December 31, 2023: 109.4%). A recovery plan is in place intended to improve this coverage ratio toward a minimum of 119.1%. ASML has no obligation to pay any deficits the pension fund may incur, nor does it have any claim to any potential surpluses. Other defined contribution and pension plans We also participate in several other defined contribution pension plans (inside and outside the Netherlands), with our expenses for these plans equaling the employer contributions made in the relevant period. Deferred compensation plans For more senior US employees we have a non-qualified deferred compensation plan that allows them to defer a portion of their salary, bonus and commissions. The plan allows us to credit additional amounts to the participants’ account balances. The participants divide their funds among the investments available in the plan. Participants elect to receive their funds in future periods after the earlier of their employment termination or their withdrawal election, at least three years after deferral. Expenses were close to nil relating to this plan in 2024, 2023 and 2022. As of December 31, 2024, our liability under deferred compensation plans was €111.8 million (2023: €94.7 million). The related compensation plan assets are €113.1 million (2023: €95.2 million). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 355 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
20. Share-based compensation ASML has the following share-based compensation plans in place for its employees: • Long-term incentive (LTI) bonus plans • Option plans • Employee Share Purchase Plan Long-term incentive bonus plans Our LTI plans are covered by an overarching Employee Umbrella Share Plan, which is effective as of January 1, 2014, and covers all employees. The main purpose of the grants of Equity Incentives under this Employee Umbrella Share Plan is to continue to attract, reward and retain qualified and experienced industry professionals in an international labor market. All grants under the Employee Umbrella Share Plan typically have a vesting period of 2.5- to-3 years and are subject to performance and/or service criteria. As part of our LTI bonus, employees can be granted either a service or performance share-based payment plan. For service-type plans, shares are granted at grant date, and after having been in service for a set period, the participant is awarded these shares at the vesting date. For performance plans, the same conditions apply as a service-type plan. Additionally, the shares are conditionally granted and awarded based on the company-specific performance criteria, which can be split between market- and non-market-based elements. These shares vest after completion of the service period and the performance reached at vesting date. The General Meeting approved the adoption of the most recent Remuneration Policy for the Board of Management and the number of shares to be issued. The most recent Remuneration Policy includes the target and maximum levels of the LTI plans, the performance measures and pay-out zone percentages. The policies for employees are approved by the Board of Management. The General Meeting also approved the restrictions and limits to the Board of Management for issuance/granting of ordinary shares, limits for restricting or excluding the pre-emption rights accruing to shareholder, and the restrictions and limits to the Board of Management for repurchasing ordinary shares on behalf of the company. The table below shows the performance criteria and the corresponding weight of the LTI performance plans granted in 2024. LTI performance plan criteria Market/Non-market element Weight Relative TSR Market 30% Strategic value drivers Non-market 30% Technology Leadership Index Non-market 20% ESG measures Non-market 20% Total 100% Accounting policy The fair value of the market-based element is measured at the grant date incorporating the expected vesting and expected value at vesting, using a tailored Monte Carlo simulation model. The fair value of the service plans and the non-market-based elements of the performance plans is the share price at grant date less the present value of expected dividends during the vesting period, as participants are not entitled to dividends payable and voting rights during the vesting period. The likelihood of the conditions being met for service and non-market performance plans is assessed as part of the company’s best estimate of the number of equity instruments that will ultimately vest. Participants are entitled to a conditional grant of company shares upon awarding. Performance plans are subject to cliff vesting and are accounted for on a straight-line basis. Service-only plans are subject to graded vesting. Each installment of the plan is therefore accounted as a separate grant with a separate fair value. This means that each installment will be separately measured and attributed to expense over the related vesting period. Expenses for the market-based element are recognized during vesting at a fixed vesting level (as the vesting expectation is incorporated in the fair value) provided that all other performance conditions are met. Expenses for the non-market- based elements and service plans are recognized during vesting at expected vesting levels, which are updated during the vesting period as necessary, with a final update/adjustment at vesting date. All share-based remuneration expenses are recognized as personnel expense, with a corresponding entry in equity, during the vesting period of the award. Share-based remuneration expenses are included in the same income statement line or lines in the functional grouped Consolidated statement of operations as the compensation paid to the employees receiving the stock-based awards. The most important assumptions for the calculation of the fair value of shares for the LTI performance plans, which include market-based performance criteria, are set out in the following table: Year ended December 31 2022 2023 2024 Share price in € at grant date 548.0 620.1 707.1 Expected volatility ASML 41.8% 46.2% 40.0% Average volatility of the peer group (market practice) 47.8% 50.0% 43.3% Vesting period 2.7 years 2.9 years 2.9 years Dividend yield 1.0% 0.9% 0.7% Risk free interest rate (Eurozone) 0.5% 2.4% 2.4% Risk free interest rate (U.S.) 2.8% 3.9% 4.2% STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 356 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
An overview of the incurred and expected expenses for the LTI plans are set out in the following table: Year ended December 31 (€, in millions) 2022 2023 2024 Incurred expenses 68.9 134.8 172.6 Expected expenses of conditionally granted plans in future periods 113.0 187.2 246.1 Weighted average period for recognizing these expected expenses 1.4 years 1.6 years 1.5 years Deferred tax asset movement (recognized as share-based payments in Equity) (2.5) 5.0 9.5 Recognized income tax benefit (excluding excess income tax benefits) 10.2 16.3 28.2 Details with respect to shares granted and vested during the year are set out in the following table: Total fair value of shares vested during the year (in millions) 120.6 175.5 161.4 149.6 127.0 155.2 Weighted average fair value of shares granted 578.65 587.42 801.78 553.61 624.10 848.18 EUR-denominated USD-denominated Year ended December 31 2022 2023 2024 2022 2023 2024 A summary of the status of conditionally outstanding shares as of December 31 2024, and changes during the year ended December 31, 2024, is presented below: Forfeited (3,850) 667.89 (11,335) 688.73 Conditional shares outstanding at December 31, 2024 280,353 680.02 410,680 734.50 EUR-denominated USD-denominated Number of shares Weighted average fair value at grant date Number of shares Weighted average fair value at grant date Conditional shares outstanding at January 1, 2024 275,571 576.37 363,119 620.31 Granted 220,149 801.78 260,307 848.18 Vested (211,517) 671.93 (201,411) 678.12 Option plans Since 2017, we no longer grant any options, but there are still outstanding options which may be exercised by employees. Accounting policy The grant-date fair value of stock options was estimated using a Black–Scholes option valuation model. This Black– Scholes model required the use of assumptions, including expected share price volatility, the estimated life of each award and the estimated dividend yield. The risk-free interest rate used in the model is determined, based on an index populated with euro-denominated European government agency bonds with high credit ratings and with a life equal to the expected life of the equity-settled share-based payments. Our option plans typically vest over a 3-year service period, with any unexercised stock options expiring 10 years after the grant date. Options granted have fixed exercise prices equal to the closing price of our shares listed at Euronext Amsterdam on grant date. The purchase of shares against the exercise price is settled with the employees involved through deductions on their salary and the issuance of shares upon exercising the stock options is deducted from our treasury shares. Details with respect to stock options exercised and outstanding are set out in the following table: EUR-denominated USD-denominated Year ended December 31 2022 2023 2024 2022 2023 2024 Weighted average share price at stock option exercise 494.14 613.03 834.48 565.39 678.41 911.23 Aggregate intrinsic value of exercised stock options (in millions) 4.4 8.1 10.2 1.6 4.8 8.2 Weighted average remaining contractual term of exercisable options (in years) 2.08 1.48 0.83 2.09 1.43 0.84 Aggregate intrinsic value of exercisable stock options (in millions) 20.3 19.7 11.4 14.6 15.9 8.2 Aggregate intrinsic value of outstanding stock options (in millions) 20.3 19.7 11.4 14.6 15.9 8.2 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 357 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
The number and weighted average exercise prices of stock options as of December 31, 2024, and changes during the year then ended are presented below:  EUR-denominated USD-denominated Number of options Weighted average exercise price per ordinary share (in €) Number of options Weighted average exercise price per ordinary share (in $) Outstanding, January 1, 2024 32,839 82.52 23,962 94.01 Granted1 — — — — Exercised (13,471) 75.44 (10,048) 91.90 Forfeited — — — — Expired (32) 64.39 (180) 92.23 Outstanding, December 31, 2024 19,336 87.48 13,734 95.58 Exercisable, December 31, 2024 19,336 87.48 13,734 95.58 1. Since 2017, we no longer grant options to our employees. Details with respect to stock options exercised in the relevant year and outstanding stock options as of December 31, 2024, are set out in the following table: EUR-denominated USD-denominated Range of exercise prices (in €) Number of outstanding options Weighted average remaining contractual life of outstanding (years) Range of exercise prices (in $) Number of outstanding options Weighted average remaining contractual life of outstanding (years) 70–80 3,864 0.79 70–80 — 0.00 80–90 7,761 0.89 80–90 2,843 0.79 90–100 7,711 0.79 90–100 6,382 0.84 100–110 — 0.00 100–110 4,509 0.87 Total 19,336 0.83 Total 13,734 0.84 Employee Share Purchase Plan Additionally, we offer an Employee Share Purchase Plan to our payroll employees, except the Board of Management, which is excluded from participation in this plan. Through this plan, payroll employees are given the opportunity to buy our shares through their monthly paycheck. The maximum amount for which employees can participate in the plan amounts to 10.0% of their annual gross base salary. When employees retain the shares for a minimum of 12 months, ASML will pay out a 20.0% gross cash bonus on the initial participation amount. This cash bonus is recorded as part of personnel expenses. Accounting policy Employee share purchase plans are accounted on an accrual basis. The shares for employee share purchase plans are issued on a quarterly basis and the share purchase price is based on the closing share price of our listed shares on grant date, which is the date after our quarterly filings. The purchased shares by employees are issued from our treasury shares. In 2024, ASML received €124.0 million (2023: €99.4 million; 2022: €81.8 million) from issuance of shares for our employee share purchase plan. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 358 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
21. Income taxes Accounting policy Income taxes represent the sum of the current tax position and deferred tax. The current tax position is based on taxable base for the year. Taxable base differs from results as reported in the Consolidated statement of profit or loss because it excludes items of income or charges that are taxable or deductible in prior or later years, for example timing differences between taxable base and financial results, and it further excludes items that are never taxable or deductible, for example permanent differences between taxable base and financial results. Our tax position is calculated using tax rates that have been enacted or substantively enacted at the Consolidated statement of financial position date. Deferred tax is recognized on differences between the carrying amounts of assets and liabilities in the Consolidated financial statements and the corresponding tax base used in the computation of taxable profit. Deferred tax liabilities are recognized for all taxable temporary differences and deferred tax assets are recognized to the extent that it is probable that taxable profits will be available against which deductible temporary differences can be utilized. Such assets and liabilities are not recognized if the temporary difference arises from goodwill or from the initial recognition (other than in a business combination) of other assets and liabilities in a transaction that affects neither the taxable profit nor the accounting profit. The carrying amount of deferred tax assets is reviewed at each Consolidated statement of financial position date and reduced to the extent that it is no longer probable that sufficient taxable profits will be available to allow all or part of the asset to be recovered. Deferred tax assets and liabilities are measured at the tax rates that are expected to apply in the period in which the liability is settled or the asset realized, based on tax rates (and tax laws) that have been enacted or substantively enacted by the Consolidated statement of financial position date. The measurement of deferred tax liabilities and assets reflects the tax consequences that would follow from the manner in which we expect, at the reporting date, to recover or settle the carrying amount of our assets and liabilities. Deferred tax assets and liabilities are offset on the Consolidated statement of financial position when there is a legally enforceable right to set off tax assets against tax liabilities and when they relate to income taxes levied by the same taxation authority and we intend to settle our tax assets and liabilities on a net basis. The Consolidated statement of profit or loss effect of interest and penalties relating to liabilities for tax positions subject to uncertainty over income tax treatment are included in income tax expense. Current and deferred tax are recognized as an expense or income in the Consolidated statement of profit or loss, except when they relate to items credited or debited directly to OCI or directly to equity, in which case the tax is also recognized directly in equity, or where they arise from the initial accounting for a business combination. In the case of a business combination, the tax effect is taken into account in calculating goodwill or in determining the excess of our interest in the net fair value of the acquired entity’s identifiable assets and liabilities incurred or assumed over the cost of the business combination. The calculation of our tax liabilities involves uncertainties in the application of complex tax laws. Our estimate for the potential outcome of any uncertain tax position is highly judgmental. However, we believe that we have adequately provided for tax positions subject to uncertainty over income tax treatment. Settlement of these uncertainties in a manner inconsistent with our expectations could have a material impact on our results of operations, financial condition and cash flows. We recognize a liability for tax positions subject to uncertainty over income tax treatment when it is probable that an outflow of economic resources will occur. Measurement of the liability for tax positions subject to uncertainty over income tax treatment is based on either the most likely amount method or the expected value method based on ASML's best estimate of the underlying risk. Income taxes are affecting our Consolidated statements of operations, Consolidated statements of comprehensive income and Consolidated balance sheets. The disclosure of the income taxes is therefore split into: • Income tax expense • Liability for uncertain tax positions • Deferred taxes Income tax expense The components of income tax expense are as follows: Year ended December 31 (€, in millions) 2022 2023 2024 Current tax (1,757.6) (1,463.3) (1,758.8) Deferred tax 739.0 (165.9) (58.9) Income tax (expense) / benefit (1,018.6) (1,629.2) (1,817.7) STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 359 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Current and deferred tax (expense) / benefit can be further broken down into: Year ended December 31 (€, in millions) 2022 2023 2024 Current year tax (expense) / benefit (1,701.8) (1,576.4) (1,547.7) Prior year tax (expense) / benefit (55.8) 113.1 (211.1) Current tax (expense) / benefit (1,757.6) (1,463.3) (1,758.8) Year ended December 31 (€, in millions) 2022 2023 2024 Changes to recognition of tax losses and tax credits (41.2) 3.0 (24.9) Prior year tax (expense) / benefit 79.2 (85.2) 93.1 Tax rate changes (1.1) 2.8 — Origination and reversal of temporary differences, tax losses and tax credits 702.1 (86.5) (127.1) Deferred tax (expense) / benefit 739.0 (165.9) (58.9) Above current year tax expense includes estimated Global Minimum Tax expense of €2.5 million that can be broken out as follows: Year ended December 31 (€, in millions)3 2024 Top-up tax expense based on local QDMTT1 (0.3) Top-up tax expense based on IIR2 (2.2) Global Minimum Tax Total (2.5) 1. QDMTT = qualifying domestic top-up tax 2. IIR = Income Inclusion Rule 3. Global Minimum Tax rules have only first become applicable as of 2024. As such, no reference for 2022 and 2023 has been included. The Dutch statutory tax rate was 25.8% in 2024 (25.8% for 2023 and 25.8% for 2022). Tax amounts in other jurisdictions are calculated at the rates prevailing in the relevant jurisdictions. The effective tax rate (ETR) increased to 18.3% in 2024, compared with 17.1% in 2023. The higher rate is mainly driven by the new Innovation box agreement that has entered into force as of 2024 as well as to the recognition of a tax expense in relation to a historic tax position. The reconciliation of the income tax expense from the Dutch statutory rate to the effective income tax rate is as follows: Year ended December 31 (€, in millions) 2022 %1 2023 %1 2024 %1 Income before income taxes 7,276.4 100.0% 9,553.1 100.0% 9,956.9 100.0% Income tax expense based on ASML’s domestic rate (1,877.3) 25.8% (2,464.7) 25.8% (2,568.9) 25.8% Effects of tax rates in foreign jurisdictions 28.4 -0.4% 5.3 -0.1% 31.4 -0.3% Adjustments in respect of tax exempt income — 0.0% 1.4 0.0% 0.9 0.0% Adjustments in respect of tax incentives 792.1 -10.9% 995.7 -10.4% 892.5 -9.0% Adjustments in respect of prior years’ current taxes (55.8) 0.8% 113.1 -1.2% (211.2) 2.1% Adjustments in respect of prior years’ deferred taxes 79.2 -1.1% (85.2) 0.9% 93.1 -0.9% Movements in the liability for uncertain tax positions (16.1) 0.2% (62.9) 0.7% (35.4) 0.4% Global Minimum Tax — 0.0% — 0.0% (2.5) 0.0% Change in unrecognized deferred tax assets (41.2) 0.6% 3.0 0.0% (24.9) 0.3% Investments in associates (38.3) 0.6% (42.6) 0.5% (41.6) 0.5% Effect of change in tax rates (1.1) 0.0% 2.8 0.0% — 0.0% Other (credits) and non-taxable items 111.5 -1.5% (95.1) 1.0% 48.9 -0.5% Income tax expense (1,018.6) 14.0% (1,629.2) 17.1% (1,817.7) 18.3% 1. As a percentage of income before income taxes. The individual line items in the table above are explained in more detail below. Income tax expense based on ASML’s domestic rate The income tax expense based on ASML’s domestic rate is based on the Dutch statutory income tax rate. It reflects the income tax expense that would have been applicable assuming that all of our income is taxable against the Dutch statutory tax rate and there are no differences between taxable base and financial results and no tax incentives are applied. Effects of tax rates in foreign jurisdictions A portion of our results is realized in countries other than the Netherlands where different tax rates are applicable. The effect can differ from year to year depending on the profit before tax in respective foreign jurisdictions. Adjustments in respect of tax-exempt income Some interest income earned is exempt for tax purposes at the level of one of our group entities. Adjustments in respect of tax incentives Adjustments in respect of tax incentives mainly relate to a reduced tax rate as a result of application of the Dutch Innovation Box, which is a facility under Dutch corporate tax law pursuant to which qualified income associated with STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 360 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
R&D is subject to an effective tax rate of 9.0%. The innovation box benefit is determined according to Dutch laws and published tax policy, whereby for all years mentioned the application has been confirmed in agreements between ASML and the Dutch tax authorities. As of 2024 this agreement has been renewed, now being applicable for the years 2024 through 2028 assuming facts and circumstances do not change. Furthermore, this category includes the benefit of the foreign-derived intangible income (FDII) deduction applicable at the level of our US group companies. The FDII deduction is a facility under US corporate tax law which reduces the effective tax rate on income derived from tangible and intangible products and services in foreign markets. Based on new guidance issued by the US Internal Revenue Service (IRS) in 2023 on funded R&D, FDII deduction for 2023 and 2024 has significantly reduced. Decline in absolute amount of the 2024 benefit of tax incentives as compared to 2023 is driven by a lower innovation box allocation percentage applicable as of 2024 as compared to 2023. Adjustments in respect of prior years’ current taxes The adjustments in respect of prior years’ current taxes relate to differences between the initially estimated income taxes and final corporate income tax (CIT) returns filed or arrangements agreed upon with tax authorities. These are mainly caused by modifications in temporary differences on contract liabilities and are offset by similar movements in prior-year deferred tax balances. For 2024 it also includes a tax expense in relation to a historic tax position. Adjustments in respect of prior years’ deferred taxes The movements in the adjustments in respect of prior years’ deferred taxes mainly relate to differences between the initially estimated income taxes and final CIT returns filed. This is mainly caused by modifications in temporary differences on contract liabilities. Movements in the liability for uncertain tax positions In 2024, similar to prior years, the effective tax rate was impacted by movements in the liability for uncertain tax positions. The movement for 2024 is mainly driven by continued dialogues with Dutch and foreign tax authorities in the area of transfer pricing and the use of foreign tax credits. Additionally, some prior-year positions have been released as a result of the lapse of statute. Global minimum tax ASML falls within the scope of the OECD global minimum tax rules. Global minimum tax legislation was enacted in the Netherlands, the jurisdiction in which ASML is incorporated, and came into effect from January 1, 2024. ASML applies the exception to recognize and disclose information about deferred tax assets and liabilities related to Global minimum taxes, as provided in the amendments to IAS 12 issued in May 2023. ASML recognized an estimated current tax expense related to Global minimum tax, amounting to €2.5 million. Change in unrecognized deferred tax assets Changes in unrecognized deferred tax assets mainly relate to newly recognized R&D and withholding tax credits for the respective year at the level of our group companies in the Netherlands and the US for which it is considered not probable that these can be realized in future years. Additionally, in 2023 and 2024 a reduction in valuation allowance is recorded for a refund of withholding taxes in Taiwan. Investments in associates This line includes the income tax expense relating to our investment in Carl Zeiss SMT Holding GmbH & Co. KG. Effect of change in tax rates In 2024 there were no tax rate changes with a revaluation impact. In 2023 there was a small tax rate change impact relating to revaluation of deferred tax positions of our Dutch fiscal unity following from the renewed innovation box agreement with the Dutch tax authorities, which slightly changed the effective tax rate of the Dutch fiscal unity against which temporary differences reverse. Additionally in 2023 a rate change effect was included following an internal group restructuring in the US. The 2022 tax rate changes related to adjustments enacted in respective years in the general CIT rates applying in South Korea and the Netherlands. Other credits and non-tax deductible items Other credits and non-tax-deductible items reflect the impact on our statutory rates of permanent non-tax deductible items such as non-deductible withholding taxes, non-deductible shared-based payment expenses and non-deductible meals and entertainment expenses, as well as the impact of various tax credits (e.g. US R&D credits) on our income tax expense. Additionally, it includes the tax impact on intercompany eliminations. US Tax Reform The year-end tax positions also reflect the regulations of 2017 US Tax Reform, thereby taking into account the guidance issued by the US government. Hereby the most recent guidance for the final FDII regulations has been applied. With regard to the global intangible low taxed income (GILTI) and base erosion and anti-abuse tax (BEAT) regulations, the decision has been taken to treat these as a period permanent item. In 2022, the US enacted the CHIPS and Science Act, which, among other things, implemented a 25% investment tax credit on semiconductor and semiconductor equipment manufacturing assets. Accounting for respective credits is outside scope of Income Tax. For more details we refer to paragraph 13 ‘Property, plant and equipment’. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 361 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Additionally, in 2022 the US enacted the Inflation Reduction Act (IRA), which, among other things, implements a 15% minimum tax on book income of certain large corporations, a 1% excise tax on share buybacks, several clean energy provisions and additional funding for the IRS. Relevant tax aspects of the IRA have been assessed and included in our tax positions reported for 2024. Based on our current analysis, we do not believe the IRA will have a material impact on our Consolidated financial statements for years 2024 and onward. Liability for uncertain tax positions and deferred taxes The liability for uncertain tax positions (including accrued interest and penalties) and total deferred tax position recorded on the Consolidated statement of financial position is as follows: Year ended December 31 (€, in millions) 2022 2023 2024 Liability for uncertain tax positions (225.8) (267.9) (240.2) Deferred tax assets 2,188.9 2,104.8 2,168.6 Deferred tax liabilities (312.6) (469.4) (492.7) Deferred and other tax assets (liabilities) 1,650.5 1,367.5 1,435.7 Liability for uncertain tax positions We have operations in multiple jurisdictions, where we are subject to the application of complex tax laws. Application of these complex tax laws may lead to uncertainties on tax positions. We aim to resolve these uncertainties in discussions with the tax authorities. We record uncertain tax positions in line with the requirements of IAS 12 / IFRIC 23, which requires us to estimate the potential outcome of any tax position. Our estimate for the potential outcome of any uncertain tax position is highly judgmental. We believe that we have adequately provided for uncertain tax positions. However, settlement of these uncertain tax positions in a manner inconsistent with our expectations could have a material impact on our Consolidated financial statements. Consistent with the requirements of IAS 12 / IFRIC 23, as of December 31, 2024, the liability for uncertain tax positions (excluding interest and penalties) amounts to €200.1 million (2023: €202.4 million), which is classified as Deferred and other income tax liabilities. If recognized, these uncertain tax positions would affect our effective tax rate for approximately €213.6 million benefit (2023: €185.5 million benefit). Interest and penalties related to the liability for uncertain tax positions amount to €40.1 million (2023: €65.5 million)) and are included in the total liability position, as specified below. The impact on the Consolidated statement of profit or loss of accrued interest and penalties in 2024 amount to a benefit of €26.4 million (2023: 7.0 million expense; 2022: 4.3 million benefit). A reconciliation of the beginning and ending balance of the liability for uncertain tax positions (excluding interest and penalties) is as follows: Year ended December 31 (€, in millions) 2022 2023 2024 Balance, January (143.0) (163.0) (202.4) Gross increases – tax positions in prior period (12.1) (41.8) (31.8) Gross decreases – tax positions in prior period 0.6 11.4 27.4 Gross increases – tax positions in current period (24.9) (29.0) (67.7) Settlements 6.8 2.2 69.9 Lapse of statute of limitations 13.2 23.6 6.5 Effect of changes in exchange rates (3.6) (5.8) (2.0) Total liability for uncertain tax positions (163.0) (202.4) (200.1) Balance of accrued interest and penalties (62.8) (65.5) (40.1) Total liabilities for uncertain tax positions including interest and penalties (225.8) (267.9) (240.2) We conclude our liability for uncertain tax positions to be appropriate. Based on the information currently available, we estimate that the liability for uncertain tax positions will decrease by €0.7 million (excluding interest and penalties) within the next 12 months, mainly as a result of expiration of statute of limitations. Settlements reported in 2024 mainly relate to an agreement reached with South Korean tax authorities in the area of transfer pricing for financial years 2019 to 2023. Settlements reported in 2022 and 2023 mainly relate to the CIT returns of our Dutch fiscal unity. Increase in prior period and current period tax positions mainly relate to dialogues with the Dutch tax authorities in relation to the use of foreign tax credits. We file income tax returns in all countries where we operate, with the Netherlands, US, Taiwan, South Korea and China being the major jurisdictions. The years for which tax returns are still open for examination for respective jurisdictions are as follows: Country Years Netherlands 2021 – 2024 US 2018 – 2024 Taiwan 2019 – 2024 South Korea 2019 – 2024 China 2014 – 2024 We are routinely subject to examinations and audits from tax and other authorities in the various jurisdictions in which we operate. We believe that adequate amounts of taxes and related interest and penalties have been provided for, and any adjustments as a result of examinations are not expected to have a material adverse effect. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 362 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Deferred taxes The composition of total deferred tax assets and liabilities reconciled to the classification in the Consolidated statement of financial position is: Deferred taxes (€, in millions) January 1, 2024 Other Consolidated Statement of Profit or Loss Equity Effect of changes in exchange rates December 31, 2024 Deferred tax assets: Unrealized profits resulting from intercompany transactions 450.1 — 45.4 — 9.4 504.9 Capitalized R&D costs 285.9 — (129.5) — 36.6 193.0 Goodwill 65.0 — 14.8 — — 79.8 R&D and other tax credit carry forwards 217.8 (9.7) 45.4 — 13.1 266.6 Inventories 61.4 — 31.6 — 2.5 95.5 Contract liabilities 959.8 — 39.9 — 46.3 1,046.0 Accrued and other liabilities 139.7 — (6.6) — 2.6 135.7 Operating loss carry forwards 3.9 — (2.8) — — 1.1 Property, plant and equipment 29.2 — (16.2) — (1.6) 11.4 Lease liabilities 28.7 — (5.0) — 1.7 25.4 Other intangible assets 119.3 — (12.3) — — 107.0 Share-based payments 26.7 — (0.3) 9.5 6.3 42.2 Other temporary differences 22.9 — 4.9 3.7 (6.5) 25.0 Total deferred tax assets, gross 2,410.4 (9.7) 9.3 13.2 110.4 2,533.6 Unrecognized deferred tax assets1 (206.7) — (24.9) — (11.0) (242.6) Total deferred tax assets, net 2,203.7 (9.7) (15.6) 13.2 99.4 2,291.0 Deferred tax liabilities: Capitalized R&D expenditures (345.8) — (100.6) — — (446.4) Other intangible assets (52.0) — 9.4 — (3.4) (46.0) Goodwill (38.5) — (7.2) — — (45.7) Inventories (3.8) — 3.7 — 0.1 — Right-of-use assets (28.7) — 5.0 — (1.7) (25.4) Property, plant and equipment (13.6) — (22.7) — 0.2 (36.1) Accrued and other liabilities (0.5) — 0.2 — — (0.3) Contract liabilities (80.0) — 80.0 — — — Long-term debt (1.6) — 0.3 — — (1.3) Other temporary differences (3.8) — (11.4) — 1.3 (13.9) Total deferred tax liabilities (568.3) — (43.3) — (3.5) (615.1) Net deferred tax assets (liabilities) 1,635.4 (9.7) (58.9) 13.2 95.9 1,675.9 Classified as: Deferred tax assets – non-current 2,104.8 2,168.6 Deferred tax liabilities – non-current (469.4) (492.7) Net deferred tax assets (liabilities) 1,635.4 1,675.9 1. Unrecognized deferred tax assets disclosed above relate to R&D and other tax credit carry forwards and operating loss carry forwards that may not be realized. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 363 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Deferred taxes (€, in millions) January 1, 2023 Other Consolidated Statement of Profit or Loss Equity Effect of changes in exchange rates December 31, 2023 Deferred tax assets: Unrealized profits resulting from intercompany transactions 730.8 — (291.5) — 10.8 450.1 Capitalized R&D costs 416.5 — (104.7) — (25.9) 285.9 Goodwill — — 65.0 — — 65.0 65.0 R&D and other tax credit carry forwards 213.4 (28.1) 39.5 — (7.0) 217.8 Inventories 45.2 — 17.6 — (1.4) 61.4 Contract liabilities 820.8 — 174.4 — (35.4) 959.8 Accrued and other liabilities 78.2 — 66.3 — (4.8) 139.7 Operating loss carry forwards 4.5 — 0.2 — (0.8) 3.9 Property, plant and equipment 19.0 — 10.7 — (0.5) 29.2 Lease liabilities 27.4 — 2.3 — (1.0) 28.7 Other intangible assets 124.8 — (5.5) — — 119.3 Share-based payments 16.2 — 6.0 5.0 (0.5) 26.7 Other temporary differences 23.5 — (6.6) — 6.0 22.9 Total deferred tax assets, gross 2,520.3 (28.1) (26.3) 5.0 (60.5) 2,410.4 Unrecognized deferred tax assets1 (215.4) — 3.0 — 5.7 (206.7) Total deferred tax assets, net 2,304.9 (28.1) (23.3) 5.0 (54.8) 2,203.7 Deferred tax liabilities: Capitalized R&D expenditures (260.8) — (85.0) — — (345.8) Other intangible assets (65.4) — 10.9 — 2.5 (52.0) Goodwill (28.8) — (9.7) — — (38.5) Inventories — — (4.1) — 0.3 (3.8) Right-of-use assets (27.4) — (2.3) — 1.0 (28.7) Property, plant and equipment (9.8) — (5.1) — 1.3 (13.6) Accrued and other liabilities — — (0.5) — — (0.5) Contract liabilities (16.3) — (64.2) — 0.5 (80.0) Long-term debt (1.5) — (0.1) — — (1.6) Other temporary differences (18.6) — 17.6 — (2.8) (3.8) Total deferred tax liabilities (428.6) — (142.5) — 2.8 (568.3) Net deferred tax assets (liabilities) 1,876.3 (28.1) (165.8) 5.0 (52.0) 1,635.4 Classified as: Deferred tax assets – non-current 2,188.9 2,104.8 Deferred tax liabilities – non-current (312.6) (469.4) Net deferred tax assets (liabilities) 1,876.3 1,635.4 1. Unrecognized deferred tax assets disclosed above relate to R&D and other tax credit carry forwards and operating loss carry forwards that may not be realized. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 364 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Operating loss carry forwards and tax credit carry forwards The deferred tax assets from operating loss carry forwards and R&D and other tax credit carry forwards recognized as per December 31, 2024, are almost fully reserved. R&D and other tax credit carry forwards for the amount of €209.4 million have no expiration date. The remaining R&D and other tax credit carry forwards of €57.1 million have an expiration date between 2025 and 2044. For an amount of €12.1 million the operating loss carry forwards have an expiration date between 2025 and 2035. The remaining operating loss carry forwards of €0.0 million have no expiration date. Unrecognized deferred tax liability related to investments in foreign subsidiaries ASML periodically reviews the capital structure of each group entity and may distribute retained earnings, repay capital or inject fresh capital, should the projected cash flows, freely available funds of the respective entity and capital adequacy requirements in the respective country allow/require for this. The tax implications of such distributions are dependent on local tax and accounting regulations applying at the moment of actual distribution, that can not practically be determined. At balance sheet date, no deferred tax liability has been recognized in respect of undistributed profit reserves of the foreign subsidiaries. This as we are able to control the timing of reversal of the temporary differences and we consider it not probable that the temporary difference will reverse in the foreseeable future. As per December 31, 2024, the aggregate amount of unrecognized temporary differences approximately amounts to €1,010.2 million (2023: €673.9 million). 22. Shareholders’ equity Share capital ASML’s authorized share capital amounts to €126.0 million and is divided into: Type of shares Number of shares Nominal value Votes per share Cumulative preference shares 700,000,000 €0.09 per share 1 Ordinary shares 700,000,000 €0.09 per share 1 The issued and fully paid-up ordinary shares with a nominal value of €0.09 each were as follows: Year ended December 31 2022 2023 2024 Issued ordinary shares with nominal value of €0.09 394,589,411 393,421,721 393,283,720 Issued ordinary treasury shares with nominal value of €0.09 8,548,631 6,162,857 546,972 Total issued ordinary shares with nominal value of €0.09 403,138,042 399,584,578 393,830,692 As of December 31, 2024, 90,315,092 ordinary shares were held by 292 registered holders with a registered address in the US. Since certain of our ordinary shares were held by brokers and nominees, the number of record holders in the US may not be representative of the number of beneficial holders, or of where the beneficial holders are resident. Each ordinary share consists of 900 fractional shares. Fractional shares entitle the holder thereof to a fractional dividend, but do not give entitlement to voting rights. Only those persons who hold shares directly in the share register in the Netherlands, held by us at our address at 5504 DR Veldhoven, De Run 6501, the Netherlands, or in the New York share register, held by JP Morgan Chase Bank, N.A., P.O. Box 64506, St. Paul, MN 55164-0506, United States, can hold fractional shares. Shareholders who hold ordinary shares through the deposit system under the Dutch Securities Bank Giro Transfer Act maintained by the Dutch central securities depository Euroclear Nederland or through the Depository Trust Company cannot hold fractional shares. No cumulative preference shares have been issued. Each share carries one vote. There are no special voting rights on the issued shares in our share capital. There are currently no limitations, either under Dutch law or in our Articles of Association, on the transfer of ordinary shares in the share capital of ASML. Pursuant to our Articles of Association, the Supervisory Board’s approval shall be required for every transfer of cumulative preference shares. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 365 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Issue and repurchase of (rights to) shares Our Board of Management has the power to issue ordinary shares and cumulative preference shares insofar as it has been authorized to do so by the General Meeting. The Board of Management requires approval of the Supervisory Board for such an issue. The authorization by the General Meeting can only be granted for a certain period not exceeding five years and may be extended for no longer than five years on each occasion. If the General Meeting has not authorized the Board of Management to issue shares, the General Meeting will be authorized to issue shares on the Board of Management’s proposal, provided that the Supervisory Board has approved such a proposal. Holders of our ordinary shares have a preemptive right, in proportion to the aggregate nominal amount they hold. This preemptive right may be restricted or excluded. Holders of ordinary shares do not have preemptive rights with respect to any ordinary shares issued for consideration other than cash or ordinary shares issued to employees. If authorized for this purpose by the General Meeting, the Board of Management has the power, subject to approval of the Supervisory Board, to restrict or exclude the preemptive rights of holders of ordinary shares. At our 2024 AGM, the Board of Management was authorized from April 24, 2024, through October 24, 2025, subject to the approval of the Supervisory Board, to issue shares and/or rights thereto, representing up to a maximum of 5% of our issued share capital at April 24, 2024, plus an additional 5% of our issued share capital at April 24, 2024, that may be issued in connection with mergers, acquisitions and/or (strategic) alliances. Our shareholders also authorized the Board of Management through October 24, 2025, subject to approval of the Supervisory Board, to restrict or exclude preemptive rights with respect to holders of ordinary shares up to a maximum of 5% of our issued share capital in connection with the general authorization to issue shares and/or rights to shares, plus an additional 5% in connection with the authorization to issue shares and/or rights to shares in connection with mergers, acquisitions and/or (strategic) alliances. We may repurchase our issued ordinary shares at any time, subject to compliance with the requirements of Dutch law and our Articles of Association. Any such repurchases are subject to the approval of the Supervisory Board and authorization by the General Meeting, which authorization may not be for more than 18 months. At the 2024 AGM, the Board of Management was authorized, subject to Supervisory Board approval, to repurchase through October 24, 2025, up to a maximum of 10% of our issued share capital at April 24, 2024, at a price between the nominal value of the ordinary shares purchased and 110% of the market price of these securities on Euronext Amsterdam or Nasdaq. ASML Preference Shares Foundation The ASML Preference Shares Foundation (Stichting Preferente Aandelen ASML), a foundation organized under Dutch law, has been granted an option right to acquire preference shares in the share capital of ASML. The Foundation may exercise the Preference Share Option in situations where, in the opinion of the Foundation’s Board of Directors, our interests, our business or the interests of our stakeholders are at stake. This may be the case if: • A public bid for our shares is announced or made, or there is a justified expectation that such a bid will be made without any agreement having been reached with ASML in relation to such a bid; or • In the opinion of the Foundation’s Board of Directors, the (attempted) exercise of the voting rights by one shareholder or more shareholders, acting in concert, is materially in conflict with our interests, our business or our stakeholders. The Foundation’s objectives are to look after our interests and those of ASML and the enterprises maintained by and/or affiliated in a group with ASML, in such a way that our interests and those of enterprises and all parties concerned are safeguarded in the best possible way, and that influences in conflict with these interests, which might affect the independence or the identity of ASML and those companies, are deterred to the best of the Foundation’s ability, and everything related to the above or possibly conducive thereto. The Foundation aims to realize its objects by acquiring and holding cumulative preference shares in our capital and by exercising the rights attached to these shares, particularly the voting rights. The Preference Share Option gives the Foundation the right to acquire such number of cumulative preference shares as the Foundation will require, provided that the aggregate nominal value of such number of cumulative preference shares shall not exceed the aggregate nominal value of the ordinary shares issued at the time of exercise of the Preference Share Option. The subscription price will be equal to their nominal value. Only one-quarter of the subscription price would be payable at the time of initial issuance of the cumulative preference shares, with the other three-quarters of the nominal value only being payable when we call up this amount. Exercise of the Preference Share Option could effectively dilute the voting power of the outstanding ordinary shares by one-half. Cancellation and repayment of the issued cumulative preference shares by ASML requires authorization by the General Meeting, on a proposal to this effect made by the Board of Management and approved by the Supervisory Board. If the Preference Share Option is exercised and as a result cumulative preference shares are issued, we will initiate the repurchase or cancellation of all cumulative preference shares held by the Foundation at the Foundation’s request. In that case, we are obliged to effect the repurchase and respective cancellation as soon as possible. A cancellation will result in a repayment of the amount paid and exemption from the obligation to pay up on the cumulative preference shares. A repurchase of the cumulative preference shares can only take place when such shares are fully paid up. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 366 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
If the Foundation does not request that we repurchase or cancel all cumulative preference shares held by the Foundation within 20 months of issuance of these shares, we will be required to convene a General Meeting for the purpose of deciding on a repurchase or cancellation of these shares. The Foundation is independent of ASML. The Board of Directors of the Foundation is composed of 4 independent members from the Netherlands’ business and academic communities. The Foundation’s Board of Directors is composed, per December 31, 2024, of the following members: Mr. A.P.M. van der Poel, Mr. S. Perrick, Mr. S.S. Vollebregt and Mr. J.B.M. Streppel. Effective per January 1, 2025, Mr. A.P.M. van der Poel was replaced by Mr. W. A. Pelsma. Other than the arrangements made with the Foundation as described above, ASML has not established any other anti-takeover devices. Other reserves ASML is a company incorporated under Dutch Law. In accordance with the Dutch Civil Code, other reserves consist of legal reserves that have to be established in certain circumstances. The legal reserves consist of the hedging reserve, the currency translation reserve, the reserve for capitalized development expenditures and the other comprehensive income from associate. Legal reserves are not available for distribution to our shareholders. If any legal reserve has a negative balance, distributions to our shareholders are restricted to the extent of the negative balance. Changes in other reserves during 2024 and 2023 were as follows: (€, in millions) Hedging reserve Currency translation reserve Reserve for capitalized development expenditures Share of OCI from associate Total Balance at January 1, 2023 7.6 218.0 2,503.3 32.8 2,761.7 Components of statement of comprehensive income: Share of OCI from associate — — — 0.2 0.2 Foreign currency translation — (67.6) — — (67.6) Financial instruments, net of taxes: Gain (Loss) on derivative financial instruments (15.8) — — — (15.8) Transfers to net income 0.6 — — — 0.6 Development expenditures — — 689.1 — 689.1 Currency translation on development expenditures — 0.9 (0.9) — — Balance at December 31, 2023 (7.6) 151.3 3,191.5 33.0 3,368.2 Components of statement of comprehensive income: Share of OCI from associate — — — (12.1) (12.1) Foreign currency translation — 93.0 — — 93.0 Financial instruments, net of taxes: Gain (loss) on derivative financial instruments 38.2 — — — 38.2 Transfers to net income (8.9) — — — (8.9) Development expenditures — — 914.6 — 914.6 Currency translation on development expenditures — (1.5) 1.5 — — Balance at December 31, 2024 21.7 242.8 4,107.6 20.9 4,393.0 Exchange rate differences relating to the translation from our foreign subsidiaries into euro are recognized in the currency translation reserve. Gains and losses on hedging instruments that are designated as hedges of net investments in foreign operations are included in the currency translation reserve. Hedging reserve represents hedging gains and losses on the effective portion of cash flow hedges. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 367 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Appropriation and determination of net income Dividends may be payable out of net income or retained earnings shown in the Company Financial Statements as adopted by our General Meeting, after payment first of (accumulated) dividends on any outstanding cumulative preference shares. At its discretion, however, subject to statutory provisions, the Board of Management may, with the prior approval of the Supervisory Board, distribute one or more interim dividends on the ordinary shares before the Financial Statements for any financial year have been adopted by the General Meeting. The Board of Management, with the approval of the Supervisory Board, may decide that all or part of our net income should be retained and not be made available for distribution to shareholders, except for dividends on the cumulative preference shares. Those net incomes that are not retained may be distributed to shareholders pursuant to a shareholders’ resolution, provided that the distribution does not reduce equity below the amount of reserves required by Dutch law. Existing reserves that are distributable in accordance with Dutch law may be made available to the General Meeting for distribution upon a proposal by the Board of Management, subject to prior approval of the Supervisory Board. As regards cash payments, the rights to dividends and distributions shall lapse if such dividends or distributions are not claimed within five years following the day after the date on which they were made available. ASML aims to distribute a dividend that will be growing over time, paid quarterly. On an annual basis, the Board of Management, upon prior approval from the Supervisory Board, submits a proposal to the AGM with respect to the amount of dividend to be declared with respect to the prior year, taking into account any interim dividend distributions. The dividend proposal in any given year will be subject to availability of distributable profits, retained earnings and cash, and may be affected by, among other things, our view of potential future liquidity requirements including for investments in production capacity, working capital requirements, the funding of our R&D programs and acquisition opportunities that may arise from time to time, and future changes in applicable tax and corporate laws. ASML intends to declare a total dividend for the year of 2024 of €6.40 per ordinary share, which is a 4.9% increase compared to the 2023 total dividend of €6.10 per ordinary share. Recognizing the interim dividends of €1.52 per ordinary share paid in August 2024, November 2024 and February 2025, this leads to a final dividend proposal to the General Meeting of €1.84 per ordinary share. Dividends on ordinary shares are payable out of net income or retained earnings, as shown in our Financial statements as adopted by our AGM, after payment first of (accumulated) dividends out of net income on any issued cumulative preference shares. The amount of net income that is not distributed as dividend will be appropriated to our retained earnings. Purchase of equity securities In addition to dividend payments, we intend to return cash to our shareholders on a regular basis through share buybacks or capital repayment, subject to our actual and anticipated level of liquidity requirements and other relevant factors. In November 2022, we announced the current up to €12.0 billion 2022-2025 share buyback program of which we expect a total of up to 2.0 million shares will be used to cover employee share plans. ASML intends to cancel the remainder of the shares repurchased. The share buyback program may be suspended, modified or discontinued at any time. In 2024, we repurchased 574,925 shares (2023: 1,620,128 shares) for a total consideration of €500.0 million (2023: €1,000.0 million). In 2024, we cancelled 5,754,117 shares (2023: 3,553,815 shares). The following table provides a summary of shares repurchased by ASML in 2024: Period Total number of shares purchased Average price paid per Share (€) Total number of shares purchased under programs Maximum value of shares that may yet be purchased (€ millions) January 1 – 31, 2024 54,938 797.29 54,938 10,756.2 February 1 – 29, 2024 217,359 849.36 272,297 10,571.6 March 1 – 31, 2024 196,519 892.93 468,816 10,396.1 April 1 – 30, 2024 106,109 905.71 574,925 10,300.0 May 1 – 31, 2024 — — 574,925 10,300.0 June 1 – 30, 2024 — — 574,925 10,300.0 July 1 – 31, 2024 — — 574,925 10,300.0 August 1 – 31, 2024 — — 574,925 10,300.0 September 1 – 30, 2024 — — 574,925 10,300.0 October 1 – 31, 2024 — — 574,925 10,300.0 November 1 – 30, 2024 — — 574,925 10,300.0 December 1 – 31, 2024 — — 574,925 10,300.0 Total 574,925 869.68 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 368 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
23. Net income per ordinary share Basic net income per ordinary share is calculated by dividing net income by the weighted average number of ordinary shares outstanding for that period. The dilutive effect is calculated using the treasury stock method by dividing net income by the weighted average number of ordinary shares outstanding for that period plus shares applicable to options and conditional shares (dilutive potential ordinary shares). The calculation of diluted net income per ordinary share does not assume exercise of options when exercise would be anti-dilutive. Excluded from the diluted weighted average number of shares outstanding calculation are cumulative preference shares contingently issuable to the preference share foundation, since they represent a different class of stock from the ordinary shares. The basic and diluted net income per ordinary share has been calculated as follows: Year ended December 31 (€, in millions, except per share data) 2022 2023 2024 Net income 6,395.8 8,115.2 8,349.0 Weighted average number of shares outstanding 397.6 393.8 393.3 Basic net income per ordinary share 16.08 20.61 21.23 Weighted average number of shares outstanding 397.7 393.8 393.3 Plus shares applicable to options and conditional shares 0.3 0.3 0.3 Diluted weighted average number of shares 398.0 394.1 393.6 Diluted net income per ordinary share 16.07 20.59 21.21 24. Vulnerability due to certain concentrations We rely on outside vendors for components and subassemblies used in our systems, including the design thereof, each of which is obtained from a single supplier or a limited number of suppliers. Our reliance on a limited group of suppliers involves several risks, including a potential inability to obtain an adequate supply of required components, reduced control over pricing, and the risk of untimely delivery of these components and subassemblies. 25. Financial risk management We are exposed to certain financial risks, such as foreign currency risk, interest rate risk, credit risk, liquidity risk and capital risk. Our overall risk management program focuses on the unpredictability of financial markets and seeks to minimize potentially adverse effects on our financial performance. Our risk management program focuses appropriately on the current environment of uncertainty in the financial markets. A key element within our risk management program is our long-held prudent financing policy, which is based on three foundational elements: • Liquidity: Maintain sufficient liquidity to ensure continued business growth and to provide a buffer for cash flow volatility • Capital structure: Maintain a capital structure that targets a solid investment-grade credit rating • Cash return: Provide a sustainable dividend per share that will grow over time, paid quarterly, while returning excess cash to shareholders through share buybacks or capital repayment We use derivative financial instruments to hedge certain risk exposures. None of these transactions are entered into for trading or speculative purposes. We use market information to determine the fair value of our derivative financial instruments. Foreign currency risk management Our Consolidated financial statements are expressed in euros. Accordingly, our results of operations are exposed to fluctuations in exchange rates between the euro and other currencies. Changes in currency exchange rates can result in losses in our Consolidated financial statements. We are exposed to fluctuations in the exchanges rates of the US dollar, Japanese yen, the Taiwanese dollar, the South Korean won and the Chinese yuan, in relation to the euro. We incur costs of sales predominantly in euros with portions also denominated in US and Taiwanese dollars. A small portion of our operating results are driven by movements in currencies other than the euro, US dollar, Japanese yen, South Korean won, Taiwanese dollar or Chinese yuan. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 369 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Foreign currency sensitivity The following table details our sensitivity to a 10.0% strengthening of foreign currencies against the euro. The sensitivity analysis includes foreign currency denominated monetary items outstanding and adjusts their translation at the period end for a 10.0% strengthening in foreign currency rates. A positive amount indicates an increase in net income or equity. Year ended December 31 (€, in millions) 2023 2024 Impact on net income Impact on equity Impact on net income Impact on equity US dollar 4.2 78.3 10.3 81.3 Japanese yen (2.6) (3.8) (30.4) (0.4) Taiwanese dollar 0.4 — (7.9) — Other currencies (10.0) — (10.5) — Total (8.0) 74.5 (38.5) 80.9 It is our policy to limit the effects of currency exchange rate fluctuations on our Consolidated statement of profit or loss. The impact on net income reflects our net exposure to currencies other than the euro at year end 2024. The negative effect on net income as presented in the table above for 2024 is mainly attributable to timing differences between the arising and hedging of exposures. The effects of the fair value movements of cash flow hedges entered into for US dollar and Japanese yen transactions are recognized in equity. The effect on 2024 compared to 2023 for both US dollar and Japanese yen is mainly the result of the change in outstanding cash flow hedges. For a 10.0% weakening of the foreign currencies against the euro, there would be approximately an equal but opposite effect on net income and equity. Foreign currency risk policy It is our policy to hedge material transaction exposures, such as forecasted sales and purchase transactions. We hedge these exposures through the use of forward foreign exchange contracts. Foreign exchange contracts The following table details the notional principal amounts of the outstanding forward foreign exchange contracts. Year ended December 31 (in billions) 2023 2024 US dollar (USD) 0.8 1.0 Japanese yen (JPY) 8.5 1.1 Taiwanese dollar (TWD) 26.4 27.6 South Korean won (KRW) 61.8 66.4 Chinese yuan (CNY) 1.1 1.1 The hedged highly probable forecasted transactions denominated in foreign currency are expected to occur at various dates during the coming 12 months. Gains and losses recognized in other comprehensive income (OCI) on forward foreign exchange contracts included in a hedge relationship will be recognized in the Consolidated statement of profit or loss in the period during which the hedged forecasted transactions affect the Consolidated statement of profit or loss. In 2024, we recognized a transfer to net income of €8.9 million gain (2023: €0.6 million loss; 2022: €66.5 million gain) in the Consolidated statement of profit or loss resulting from effective cash flow hedges for forecasted sales and purchase transactions that occurred in the year. Furthermore, we recognized a net amount of €31.4 million gain in the Consolidated statement of profit or loss resulting from derivative financial instruments measured at fair value through profit or loss (2023: €52.4 million gain; 2022: €3.6 million gain), which is mainly offset by the revaluation of the hedged monetary items. OCI balance unrealized gains and losses on financial instruments from foreign exchange contracts The following table details the anticipated outstanding accumulated unrealized gains and losses in OCI from financial instruments for both foreign currency denominated forecasted purchase and sales transactions. All amounts related to the purchase transactions are expected to be released over the next 12 months and will offset the euro equivalent of foreign currency denominated forecasted purchase transactions. The amounts related to the sales transactions are released on the date of the sales transactions. Year ended December 31 (€, in millions) 2022 2023 2024 Purchase transactions 5.5 (8.9) 25.6 Net of taxes 4.7 (7.6) 21.7 Sales transactions 3.4 — — Net of taxes 2.9 — — The effectiveness of all contracts for which we apply hedge accounting is monitored on a quarterly basis. During 2024, 2023 and 2022, no ineffective hedge relationships were recognized. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 370 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Interest rate risk management We have interest-bearing assets and liabilities that expose us to fluctuations in market interest rates, managed through interest rate swaps. Interest rate sensitivity The sensitivity analysis below has been determined based on the exposure to interest rates for both derivative financial and non-derivative financial instruments at the Consolidated statement of financial position date, with the stipulated change taking place at the beginning of the financial year and held constant throughout the reporting period. The table below shows the effect of a 1.0% increase in interest rates on our net income and equity. A positive amount indicates an increase in net income and equity. Year ended December 31 (€, in millions) 2023 2024 Impact on net income Impact on equity Impact on net income Impact on equity Effect of a 1.0% increase in interest rates 37.6 — 94.9 — The positive effect on net income mainly relates to our total amount of cash and cash equivalents and short-term investments being higher than our total floating debt position, which is excluding the Eurobonds issued in 2020. For a 1.0% decrease in interest rates there would be approximately an equal but opposite effect on net income and equity. Hedging policy interest rates We use interest rate swaps to minimize the net interest exposure for the group by aligning the interest terms of the available cash and the interest-bearing debt. There may be residual interest rate risk to the extent the asset and liability positions do not fully offset. Interest rate swaps The notional principal amount of the outstanding interest rate swap contracts as of December 31, 2024 was €3.3 billion (2023: €3.3 billion). During 2024, these outstanding hedges were highly effective in hedging the fair value exposure to interest rate movements. We did not enter into interest rate swaps in connection with the Eurobonds issued in 2020. Credit risk management Financial instruments that potentially subject us to a significant concentration of credit risk consist principally of cash and cash equivalents, short-term investments, derivative financial instruments used for hedging activities, Accounts receivable and Finance receivables and prepayments to suppliers. Cash and cash equivalents, short-term investments and derivative financial instruments contain an element of risk of the counterparties being unable to meet their obligations. Our risk management program focuses appropriately on the current environment of uncertainty in the financial markets. We invest our cash and cash equivalents and short- term investments in short-term deposits with financial institutions that have investment-grade credit ratings and in government and or government-related bodies that have investment-grade credit ratings and in money market and other investment funds that invest in high-rated debt securities. To mitigate the risk that our counterparties in hedging transactions are unable to meet their obligations, we enter into transactions with a limited number of major financial institutions that have investment-grade credit ratings and closely monitor their creditworthiness. All credit ratings are rated by credit rating institutions like Standard & Poor's, Moody’s or Fitch. Concentration risk is mitigated by limiting the exposure to each of the individual counterparties. Our customers consist of integrated circuit manufacturers located throughout the world. We perform ongoing credit evaluations of our customers’ financial condition. We mitigate credit risk through additional measures, including the use of down payments, letters of credit and contractual ownership retention provisions. Retention of ownership enables us to recover the systems in the event a customer defaults on payment. Liquidity risk management Our principal sources of liquidity consist of cash and cash equivalents, short-term investments and available credit facilities, with the objective of maintaining sufficient liquidity to ensure continued business growth and to provide a buffer for cash flow volatility. In addition, we may from time to time raise additional funding in debt and equity markets. We seek to ensure that our principal sources of liquidity will be sufficient to satisfy our liquidity requirements at all times. Our liquidity needs are affected by many factors, some of which are based on the normal ongoing operations of the business, and some of which relate to uncertainties of the global economy and the semiconductor industry. Although our cash requirements fluctuate based on the timing and extent of these factors, we believe that cash generated from operations, together with our other sources of liquidity, are sufficient to satisfy our requirements, including our expected capital expenditures, R&D expenses and debt servicing. We intend to return cash to our shareholders on a regular basis in the form of dividend payments and, subject to our actual and anticipated liquidity requirements and other relevant factors, share buybacks or capital repayment. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 371 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Our liquidity analysis of derivative financial instruments is as follows: Total < 1 year 1-3 years 3-5 years After 5 years Cash outflows Currency contracts 3,984.7 3,984.7 — — — Interest rate swaps 240.8 103.2 81.0 25.2 31.4 Cash inflows Currency contracts 4,029.2 4,029.2 — — — Interest rate swaps 179.2 71.0 58.3 20.7 29.2 For interest rate swaps included in above table the amounts disclosed have been determined by reference to the projected interest rates as illustrated by the yield curves as at December 31, 2024. For more information on our contractual obligations, including the liquidity analysis in relation to our borrowings, see Note 17 Commitments and contingencies. Additionally, other financial liabilities (including trade payables) are expected to be settled within one year. Capital risk management Our objectives when managing our capital structure are to safeguard our ability to satisfy our capital providers by maintaining a capital structure that ensures liquidity and supports a solid investment-grade credit rating. The capital structure includes both debt and the components of equity, in accordance with both US GAAP and EU-IFRS. The capital structure is mainly altered by, among other things, our financial results, adjusting the amount of dividends paid to shareholders, the amount of share buybacks or capital repayment and any changes in the level of debt. Our capital structure is formally reviewed with the Supervisory Board each year in connection with our updated long- term financial plan and relevant scenarios. The outcome of this year’s review confirmed that we should maintain our existing financing policy in relation to our capital structure. Our current credit rating from Moody’s is A2 (Positive); the outlook was changed in May 2024 from Stable. Our current credit rating from Fitch is A+ (Stable). This rating was upgraded in May 2024 from A. Supplier finance program We have a supplier finance program in place. We pay the full invoice amount on the original maturity date (for the vast majority 60 days after end of month) to a third party. Suppliers can choose to request early payment from the third party. The program can be terminated by the third party or by us with a notice period of 30 business days. The amount of the obligations outstanding that we have confirmed as valid to the third party as of December 31, 2024 was €0.3 billion (2023: €0.4 billion) and are included in Accounts payable. Carrying amount of liabilities part of the arrangement (Year ended December 31 (€, in billions)) 2024 Presented in 'trade and other payables' 0.3 of which suppliers have been paid by finance providers 0.3 Days after invoice date Range of payment due dates Year-end 2024 Liabilities that are part of the arrangement 14-90 Comparable trade payables that are not part of an arrangement 0-90 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 372 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Financial instruments Accounting Policy Financial assets There are three principal classification categories for financial assets: (1) measured at amortized cost, (2) fair value through other comprehensive income and (3) fair value through profit or loss. The classification of financial asset is generally based on the business model in which a financial asset is managed and its contractual cash flow characteristics. Hybrid financial instruments (derivatives embedded contracts where the host is a financial asset) are assessed as a whole for classification. Financial assets at amortized cost Financial assets at amortized cost are non-derivative financial assets with fixed or determinable payments that are not quoted in an active market. They are included in current assets, except for maturities greater than 12 months after the Consolidated statement of financial position date. These are classified as non-current assets. Our Financial assets at amortized comprise of accounts receivable, finance receivables, other assets, cash and cash equivalents (excluding investments in money market funds, which are classified as financial assets at fair value through profit and loss) and other non-current and current assets in the Consolidated statement of financial position. Financial assets at amortized cost are initially measured at fair value and subsequently at amortized cost using the effective interest rate method. We assess at each Consolidated statement of financial position date whether there is objective evidence that a financial asset or a group of financial assets is impaired. Impairment of financial assets Financial assets, other than those at fair value through profit or loss, are assessed using an 'expected credit loss' (ECL) model each Consolidated statement of financial position date. In accordance with the model we allocate a probability of loss to each financial asset, based on data that is determined to be predictive of the risk of loss and applying experienced credit judgment. These probabilities of default are defined using quantitative factors that are indicative of the risk of default and are aligned to information from Bloomberg L.P. Impairment on cash and cash equivalents, short term investments and finance receivables have been measured on the 12-month expected loss basis and reflects the short maturities of the exposures. We consider our cash and cash equivalents, short term investments and finance receivables to have a low credit risk based on the external credit ratings of the counterparties. Impairment on trade receivables have been measured on the lifetime expected loss basis. Financial liabilities and equity instruments issued by ASML Financial liabilities and equity instruments issued by ASML are classified according to the substance of the contractual arrangements entered into and the definitions of a financial liability and an equity instrument. Financial liabilities are either classified as financial liabilities at fair value through profit or loss or other financial liabilities. An equity instrument is any contract that evidences a residual interest in the assets of ASML after deducting all of its liabilities. Equity instruments issued by ASML are recorded at fair value, net of direct issue costs. Financial liabilities at fair value through profit or loss are stated at fair value with any resultant gain or loss recognized in the Consolidated Statement of Profit or Loss. Other financial liabilities (including loans, borrowings and trade and other payables) are subsequently measured at amortized cost using the effective interest rate method. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 373 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
The classification of these financial instruments is: Year ended December 31, 2024 (€, in millions) Financial assets at fair value through profit or loss Financial assets at amortized cost Total Assets as per statement of financial position date Derivative financial instruments 96.5 — 96.5 Contract assets — 320.6 320.6 Accounts receivable — 4,477.5 4,477.5 Finance receivables — 399.8 399.8 Other non-current and current assets — 2,254.4 2,254.4 Short-term investments 5.4 — 5.4 Cash and cash equivalents 6,379.2 6,356.7 12,735.9 Loan receivable — 1,456.7 1,456.7 Total 6,481.1 15,265.7 21,746.8 Year ended December 31, 2023 (€, in millions) Financial assets at fair value through profit or loss Financial assets at amortized cost Total Assets as per statement of financial position date Derivative financial instruments 31.1 — 31.1 Contract assets — 240.1 240.1 Accounts receivable — 4,334.1 4,334.1 Finance receivables — 1,439.8 1,439.8 Other non-current and current assets — 1,874.8 1,874.8 Short-term investments 5.4 — 5.4 Cash and cash equivalents 3,167.4 3,837.3 7,004.7 Loan receivable — 929.2 929.2 Total 3,203.9 12,655.3 15,859.2 Year ended December 31, 2024 (€, in millions) Financial liabilities at fair value through profit or loss Other financial liabilities Total Liabilities as per statement of financial position date Long-term debt1 — 4,687.6 4,687.6 Derivative financial instruments 113.6 — 113.6 Accrued and other liabilities — 3,032.5 3,032.5 Accounts payable — 3,498.5 3,498.5 Total 113.6 11,218.6 11,332.2 Year ended December 31, 2023 (€, in millions) Financial liabilities at fair value through profit or loss Other financial liabilities Total Liabilities as per statement of financial position date Long-term debt1 — 4,631.6 4,631.6 Derivative financial instruments 156.7 — 156.7 Accrued and other liabilities — 2,421.8 2,421.8 Accounts payable — 2,346.3 2,346.3 Total 156.7 9,399.7 9,556.4 1. Long-term debt includes our Eurobonds. Because the Eurobonds serve as hedged item in a fair value hedge relationship, the carrying amount is adjusted for fair value changes as a result of changes in market interest rates. See Note 16 Long-term debt, finance income and finance costs. The carrying amounts of the accounts receivable, finance receivables and other assets approximate their fair value. The amounts reflected above represent our maximum exposure to credit risk for financial assets. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 374 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Accounting Policy – Derivative financial instruments and hedging activities We measure all derivative financial instruments based on fair values derived from level 2 input criteria. We adopt hedge accounting for hedges that are highly effective in offsetting the identified hedged risks taking into account required effectiveness criteria. Derivatives are initially recognized at fair value on the date a derivative contract is entered into and subsequently remeasured. The method of recognizing the resulting gain or loss depends on whether the derivative is designated as a hedging instrument, and if so, the nature of the item being hedged. We designate derivatives as one of the following: • A hedge of an exposure relating to changes in the fair value of a recognized asset or liability, that is attributable to a particular risk (fair value hedge) • A hedge of an exposure relating to the variability in the cash flows of a recognized asset or liability, or of a forecasted transaction, that is attributable to a particular risk (cash flow hedge) • A hedge of the foreign currency exposure relating to a net investment in a foreign operation (net investment hedge) We assess at the inception of the transaction the relationship between hedging instruments and hedged items, as well as our risk management objectives and strategy for undertaking various hedging transactions. We also assess, both at hedge inception and on an ongoing basis, whether derivatives that are used in hedging transactions are highly effective in offsetting changes in fair values or cash flows of hedged items. The cash flows resulting from the derivative financial instruments are classified in the Consolidated statements of cash flows according to the nature of the hedged item. Fair value hedge Changes in the fair value of a derivative financial instrument that is designated and qualified as a fair value hedge, along with the gain or loss on the hedged asset or liability that is attributable to the hedged risk, are recorded in the Consolidated statement of profit or loss. We designate foreign currency hedging instruments as a hedge of the fair value of a recognized asset or liability in non-functional currencies. Hedge accounting is discontinued when we revoke the hedging relationship, or the hedging instrument expires or is sold, terminated or exercised, or no longer qualifies for hedge accounting. The adjustment to the carrying amount of the hedged item arising from the hedged risk is amortized to the Consolidated statement of profit or loss from that date. Interest rate swaps that are being used to hedge the fair value of fixed loan coupons payable are designated as fair value hedges. The change in fair value is intended to offset the change in the fair value of the underlying fixed loan coupons, which is recorded accordingly. The gain or loss relating to the ineffective portion of interest rate swaps hedging fixed loan coupons payable is recognized in the Consolidated statement of profit or loss as finance costs or finance income. Cash flow hedge When a derivative is designated as a cash flow hedging instrument, the effective portion of changes in the fair value of the derivative is recognized in OCI and accumulated in the hedging reserve. The effective portion of changes in the fair value of the derivative that is recognized in OCI is limited to the cumulative change in fair value of the hedged item, determined on a present value basis, from inception of the hedge. Any ineffective portion of changes in the fair value of the derivative is recognized immediately in profit or loss. When the hedged forecast transaction subsequently results in the recognition of a non-financial item such as inventory, the amount accumulated in the hedging reserve and the cost of hedging reserve is included directly in the initial cost of the non-financial item when it is recognized. For all other hedged forecast transactions, the amount accumulated in the hedging reserve and the cost of hedging reserve is reclassified to profit and loss in the same period or periods during which the hedged expected future cash flows affect profit and loss. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 375 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Fair values of the derivatives The following table summarizes the notional amounts and estimated fair values of our derivative financial instruments: Year ended December 31 (€, in millions) 2023 2024 Notional amount Fair value Notional amount Fair value Forward foreign exchange contracts 281.1 (6.8) 240.6 44.5 Interest rate swaps 3,250.0 (118.8) 3,250.0 (61.6) The following table summarizes our derivative financial instruments per category: Year ended December 31 (€, in millions) 2023 2024 Assets Liabilities Assets Liabilities Interest rate swaps – fair value hedges 11.3 130.1 9.3 70.9 Forward foreign exchange contracts – cash flow hedges 2.9 10.4 31.5 0.1 Forward foreign exchange contracts – no hedge accounting 16.9 16.2 55.7 42.6 Total 31.1 156.7 96.5 113.6 Less non-current portion: Interest rate swaps — fair value hedges 11.3 62.7 29.3 Total non-current portion 11.3 62.7 — 29.3 Total current portion 19.8 94.0 96.5 84.3 The fair value part of a hedging derivative financial instrument that has a remaining term of 12 months or less after Statement of Financial Position date is classified as current asset or liability. When the fair value part of a hedging derivative has a term of more than 12 months after Statement of Financial Position date, it is classified as non- current asset or liability. Fair value measurements Fair value is the price that would be received to sell an asset or paid to transfer a liability in an orderly transaction between market participants at the measurement date. The fair value measurement hierarchy prioritizes the inputs to valuation techniques used to measure fair value as follows: • Level 1: Valuations based on inputs such as quoted prices for identical assets or liabilities in active markets that the entity has the ability to access. • Level 2: Valuations based on inputs other than level 1 inputs such as quoted prices for similar assets or liabilities, quoted prices in markets that are not active, or other inputs that are observable or can be corroborated by observable data for substantially the full term of the assets or liabilities. • Level 3: Valuations based on inputs that are supported by little or no market activity and that are significant to the fair value of the assets or liabilities. The fair value hierarchy gives the highest priority to quoted prices (unadjusted) in active markets for identical assets or liabilities (level 1) and the lowest priority to unobservable inputs (level 3). A financial instrument’s fair value classification is based on the lowest level of any input that is significant in the fair value measurement hierarchy. Financial assets and financial liabilities measured at fair value on a recurring basis Investments in money market funds (included in our cash and cash equivalents) have fair value measurements which are all based on quoted prices for identical assets or liabilities. Our short-term investments consist of deposits with original maturities to the entity holding the investments longer than three months and one year or less at the date of acquisition with financial institutions that have investment- grade credit ratings. The fair value of the deposits is determined with reference to quoted market prices in an active market for similar assets or discounted cash flow analysis. The principal market in which we execute our derivative contracts is the institutional market in an over-the-counter environment with a high level of price transparency. The market participants usually are large commercial banks. The valuation inputs for our derivative contracts are based on quoted prices and quoting pricing intervals from public data sources; they do not involve management judgment. The valuation technique used to determine the fair value of forward foreign exchange contracts (used for hedging purposes) approximates the net present value technique which is the estimated amount that a bank would receive or pay to terminate the forward foreign exchange contracts at the reporting date, taking into account current interest rates and current exchange rates. The valuation technique used to determine the fair value of interest rate swaps (used for hedging purposes) is the net present value technique, which is the estimated amount that a bank would receive or pay to terminate the swap agreements at the reporting date, taking into account current interest rates. Four out of six of our outstanding Eurobonds, with a combined principal amount of €3.25 billion, serve as hedged items in fair value hedge relationships in which we hedge the variability of changes in the fair value of our Eurobonds due to changes in market interest rates with interest rate swaps. For two out of six of our outstanding Eurobonds, with a combined principal amount of €1.5 billion, no hedging is applied. The fair value changes of the interest rate swaps are recorded on the Consolidated Statement of Financial Position under derivative financial instruments and the carrying amounts of the Eurobonds are adjusted for the effective portion of these fair value changes only. For the actual aggregate carrying amount and the fair value of our Eurobonds, see Note 16 Long-term debt, finance income and finance costs. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 376 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
The following tables present our financial assets and financial liabilities that are measured at fair value on a recurring basis: Year ended December 31, 2024 (€, in millions) Level 1 Level 2 Level 3 Total Assets measured at fair value Derivative financial instruments1 — 96.5 — 96.5 Money market funds2 6,379.2 — — 6,379.2 Short-term investments3 — 5.4 — 5.4 Total 6,379.2 101.9 — 6,481.1 Liabilities measured at fair value Derivative financial instruments1 — 113.6 — 113.6 Assets and Liabilities for which fair values are disclosed Loan receivable — — 1,339.4 1,339.4 Long-term debt4 4,561.8 — — 4,561.8 Year ended December 31, 2023 (€, in millions) Level 1 Level 2 Level 3 Total Assets measured at fair value Derivative financial instruments1 — 31.1 — 31.1 Money market funds2 3,167.4 — — 3,167.4 Short-term investments3 — 5.4 — 5.4 Total 3,167.4 36.5 — 3,203.9 Liabilities measured at fair value Derivative financial instruments1 — 156.7 — 156.7 Assets and Liabilities for which fair values are disclosed Loan receivable — — 776.1 776.1 Long-term debt4  4,496.2 — — 4,496.2 1. Derivative financial instruments consist of forward foreign exchange contracts and interest rate swaps. 2. Money market funds are part of our cash and cash equivalents. 3. Short-term investments consist of deposits with original maturities to the entity holding the investments longer than three months, but one year or less at the date of acquisition. These deposits are valued at amortized costs which is close to their fair value. Their fair value is determined with reference to quoted market prices in an active market for similar assets or discounted cash flow analysis. 4. Long-term debt mainly relates to Eurobonds. There were no transfers between levels during the years ended December 31, 2024 and December 31, 2023. Financial assets and financial liabilities that are not measured at fair value The carrying amount of cash and cash equivalents, accounts payable, and other current financial assets and liabilities approximate their fair value because of the short-term nature of these instruments. The fair value of the loan to Carl Zeiss SMT GmbH is determined using a discounted cash flow model, which considers the present value of expected cash receipts, discounted using a risk-adjusted discount rate. Money market and investment funds measurement Money market and investment funds qualify as available for sale securities. Due to the short-term nature and investment-grade credit ratings, the fair value is close to the carrying value. These money market funds can be called on a daily basis. Investments and redemptions in money market funds are managed on a daily basis based triggered through actual cash balances. ASML does not have trading securities as of December 31, 2024. Deposits measurement The deposits as part of the cash and cash equivalents and short-term investments qualify as securities held to maturity. The amortized cost value is close to the fair value and carrying value due to short-term nature and since related to investment with investment-grade credit ratings. Maturities are one year or less. No held to maturity securities were sold before expiration date. Assets and liabilities measured at fair value on a non-recurring basis In 2023 and 2024, we had no significant fair value measurements on a non-recurring basis from regular business activities. For impairment charges regarding goodwill and other intangible assets, reference is made to Note 11. Goodwill and Note 12. Intangible assets, net respectively. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 377 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
26. Related parties Carl Zeiss SMT GmbH is our single supplier, and we are their single customer, of optical columns for lithography systems. Carl Zeiss SMT GmbH is capable of developing and producing these items only in limited numbers and only through the use of manufacturing and testing facilities in Oberkochen and Wetzlar, Germany. Our relationship with Carl Zeiss SMT GmbH is structured as a strategic alliance that is run under the principle of ‘two companies, one business’ and is focused on continuous innovation and improvement of operational excellence in the lithography business. We have a 24.9% interest in Carl Zeiss SMT Holding GmbH & Co. KG (ultimate parent is Carl Zeiss AG), which owns 100% of the shares in Carl Zeiss SMT GmbH. Based on the 24.9% investment, Carl Zeiss SMT Holding GmbH & Co. KG and its subsidiaries are considered related parties. We have had several framework agreements in place with Carl Zeiss SMT GmbH since 1997. 2021 framework agreement We entered into a new framework agreement in September 2021 with Carl Zeiss SMT GmbH, with effect as of the beginning of 2021. This agreement, which we refer to as the 2021 framework agreement, replaced our key existing framework agreements and continues our strategic alliance to meet end customer demand. The key components to the framework agreement are: • A behavior and interaction model that fosters mutual respect and understanding • A governance model that enables both companies to become more effective and aligned in their decision-making and the execution of the strategy in the business via mutual approval on (i) certain investment decisions affecting the lithography business, and (ii) the requirements of all products supplied by Carl Zeiss SMT GmbH • New variable pricing model for purchases of products and services determined by the relevant annual financial performance of both ASML and Carl Zeiss SMT GmbH in the lithography business • Cash support via additional prepayments on product deliveries to ensure Carl Zeiss SMT GmbH a minimum adjusted free cash flow floor in an annual period, if certain criteria are met • A commitment from ASML to finance the capital expenditures of Carl Zeiss SMT GmbH if Carl Zeiss SMT GmbH's investments required to execute on the lithography business roadmap exceed certain thresholds, measured annually The financing takes place through loan agreements, with the key terms being: • Ten-year loan terms with linear annual repayment after a three-year grace period • Interest rate subject to a floor of 0.01% and a cap of 1% • Voluntary repayment option without penalty • The loans are secured by a parental guarantee from Zeiss AG The loans are measured at amortized cost and presented within the Consolidated balance sheets as Loan receivable. 2021 loan agreement In September 2021, we entered into a loan agreement with Carl Zeiss SMT GmbH for up to €1 billion. As of December 31, 2024, we have financed a total amount of €912.4 million (December 31, 2023: €912.4 million) through this loan agreement. As of September 30, 2024, the undrawn amount of €87.6 million was cancelled. The amortized cost of this loan is equal to its face value and the effective interest rate equals the contractual rate. 2024 loan agreement In September 2024, we entered into a second loan agreement with Carl Zeiss SMT GmbH for up to €1 billion. As of December 31, 2024, the drawn down amount was €610.0 million with an amortized cost of €528.4 million, an unamortized discount of €81.6 million and an effective interest rate of 3.2%. Transition from previous agreements In 2016, we agreed with Carl Zeiss SMT GmbH to support their R&D costs, capital expenditures and supply chain investments, in respect of EUV 0.55 NA (High NA). With our new framework agreement, these payments will no longer be made starting in 2021. We paid €969.1 million prior to the effective amendment date of the new framework agreement, of which €305.5 million related to R&D costs, which was not to be repaid, and €663.6 million related to capital expenditures and supply chain investments. The method of repayment for the capital expenditure and supply chain investment support has been converted to be repaid annually to ASML between 2021 and 2032. This amount is presented within Other assets as Advanced payments to Carl Zeiss SMT GmbH. The new framework agreement does not change the risk associated with these assets. The cash outflows from ASML in the new variable pricing model for purchases of products and services was determined to currently have two elements. The first is cash outflows for purchasing products and services reflected in our inventory valuation and cost of sales. The second consists of R&D funding for High NA to Carl Zeiss SMT GmbH, for which these costs are presented within Research and development costs. For 2024, the related R&D funding amounted to €45.1 million (2023: €67.6 million; 2022: €76.6 million). In addition to the High NA support, we make non-interest-bearing advance payments to support Carl Zeiss SMT GmbH’s work-in-process. These payments are made to secure optical column deliveries and these advance payments are settled through future lens or optical column deliveries, and are also presented in Other assets. The new framework agreement does not change our right to settle the previously paid amounts and does not change the risk associated with these assets. We will continue to support Carl Zeiss SMT GmbH’s work-in-process under the new framework agreement through prepayments on product deliveries. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 378 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
The below table shows the outstanding balances with Carl Zeiss SMT Holding GmbH & Co. KG and its subsidiaries in our Consolidated statement of financial position: Year ended December 31 (€, in millions) 2023 2024 Advance payments included in Other assets 1,182.7 1,415.7 Loan receivable 912.4 1,440.8 Investment agreement for 24.9% equity 919.6 903.0 Accounts receivable 7.8 70.8 Accounts payable 4.0 955.8 Cost to be paid included in Accrued and other liabilities 199.9 199.9 The total purchases from Carl Zeiss SMT Holding GmbH & Co. KG and its subsidiaries are as follows: Year ended December 31 (€, in millions) 2022 2023 2024 Total purchases 2,693.6 3,325.9 3,946.5 Other related party considerations Except as described above, there have been no transactions between ASML or any of its subsidiaries, any other significant shareholder, any director or officer, or any relative or spouse thereof, other than arrangements in the ordinary course of business. During our most recent fiscal year, there has been no, and at present there is no, outstanding indebtedness to ASML owed by or owing to any director or officer of ASML or any associate thereof. Furthermore, ASML has not granted any personal loans, guarantees or the like to members of the Board of Management or Supervisory Board. For further information in relation to key management personnel, comprising of our Board of Management members, see Note 28 Board of Management and Supervisory Board Remuneration. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 379 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
27. Subsidiaries and Associates Details of our subsidiaries and associates at December 31, 2024 are as follows: Subsidiaries of ASML Holding NV1: ASML Belgium BV Belgium (Antwerp) Hermes Microvision Co., Ltd. (Beijing) China (Beijing) ASML (Shanghai) Electrical Equipment Co. Ltd. China (Shanghai) ASML (Beijing) Equipment Repair Company Limited China (Beijing) ASML (Shanghai) Lithography Facilities Science and Technology Co. Ltd. China (Shanghai) Cymer Semiconductor Equipment (Shanghai) Co. Ltd. China (Shanghai) Hermes Microvision (Shanghai) Co., Ltd China (Shanghai) Brion Technologies (Shenzhen) Co. Ltd. China (Shenzhen) ASML France S.a.r.l. France (Crolles) ASML Verwaltungs GmbH i.l. Germany (Berlin) ASML Berlin GmbH (formerly Berliner Glas GmbH) Germany (Berlin) ASML Germany GmbH Germany (Dresden) ASML Participations Germany GmbH Germany (Dresden) ASML Hong Kong Ltd. Hong Kong SAR ASML Ireland Ltd. Ireland (Dublin) ASML Israel (2001) Ltd. Israel (Kiryat Gat) ASML Italy S.r.l. Italy (Avezzano) ASML Japan Co. Ltd. Japan (Tokyo) Cymer Japan, Inc. Japan (Tokyo) ASML Equipment Malaysia Sdn. Bhd. Malaysia (Georgetown, Pulau Pinang) Cymer BV Netherlands (Veldhoven) ASML Netherlands BV Netherlands (Veldhoven) ASML Trading BV Netherlands (Veldhoven) Hermes Microvision Incorporated BV Netherlands (Veldhoven) ASML Singapore Pte. Ltd. Singapore Cymer Singapore Pte Ltd. Singapore ASML Korea Co. Ltd. South Korea (Gyeonggi-Do) Legal Entity Country of Incorporation ASML Repair Center Korea Ltd. South Korea (Gyeonggi-Do) Cymer Korea Inc. South Korea (Gyeonggi-Do) ASML Taiwan Ltd. Taiwan (Hsinchu City) ASML Technology Taiwan Ltd. Taiwan (Hsinchu City) Cymer Southeast Asia Ltd. Taiwan (Hsinchu City) ASML (UK) Ltd. UK (Edinburgh (Scotland)) Cymer, LLC US (Carson City, Nevada) EO Technical Solutions LLC US (Vancouver, Washington) ASML US, LLC US (Wilmington, Delaware) ASML US, LP US (Wilmington, Delaware) Associates of ASML Holding NV: Carl Zeiss SMT Holding GmbH & Co. KG (24.9%) Germany (Oberkochen) HighTechXL Group BV (31.97%) Netherlands Legal Entity Country of Incorporation 1. All of our subsidiaries are (directly or indirectly) wholly-owned. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 380 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
28. Board of Management and Supervisory Board Remuneration The remuneration of the members of the Board of Management based on incurred accounting expenses in 2024, 2023 and 2022 is included in the table below (amounts are in € thousands). The accounting expenses of the remuneration reported as LTI is evenly distributed over the three-year vesting period of each share award. The accounting expenses are divided into market-based and non-market-based elements. For the non-market based elements, the accounting expense is based on the maximum achievable payout during the first two years of the vesting period. In the third and final year of the vesting period, the share award’s estimate is adjusted to reflect the actual payout. The market-based element is accounted for at the target payout. Board of Management member Financial year Base salary Pension Other benefits Total fixed % Fixed STI LTI Total variable % Variable Ratio fixed/variable Total remuneration C.D. Fouquet1 2024 979 111 63 1,153 21.2% 1,532 2,747 4,279 78.8% 0.27 5,432 2023 725 82 56 863 24.5% 883 1,773 2,656 75.5% 0.32 3,519 2022 694 78 53 825 29.5% 619 1,354 1,973 70.5% 0.42 2,798 F.J.M. Schneider-Maunoury 2024 754 161 51 966 23.0% 1,026 2,217 3,243 77.0% 0.30 4,209 2023 725 148 45 918 25.7% 883 1,773 2,656 74.3% 0.35 3,574 2022 694 141 36 871 30.6% 619 1,354 1,973 69.4% 0.44 2,844 R.J.M. Dassen 2024 754 133 60 947 22.6% 1,026 2,217 3,243 77.4% 0.29 4,190 2023 725 121 56 902 25.4% 883 1,773 2,656 74.6% 0.34 3,558 2022 694 116 51 861 30.4% 619 1,354 1,973 69.6% 0.44 2,834 W.R. Allan2 2024 754 133 163 6 1,050 26.9% 1,026 1,821 3 2,847 73.1% 0.37 3,897 2023 492 82 38 612 29.6% 599 860 1,459 70.4% 0.42 2,071 J.P. Koonmen4,5 2024 516 8 206 6 730 31.1% 702 915 1,617 68.9% 0.45 2,347 Total Board of Management 2024 3,757 546 543 4,846 24.1% 5,312 9,917 15,229 75.9% 0.32 20,075 2023 2,667 433 195 3,295 25.9% 3,248 6,179 9,427 74.1% 0.35 12,722 2022 2,082 335 140 2,557 30.2% 1,857 4,062 5,919 69.8% 0.43 8,476 1. Christophe D. Fouquet was appointed as President and CEO of ASML on April 24, 2024. His 2024 Long-Term Incentive (LTI) is based on the signed grant letter with grant date January 23, 2024. Although he was not formally appointed as President and CEO at the time of the grant, Christophe D. Fouquet received a grant on January 23, 2024, in anticipation of his forthcoming appointment as President and CEO of ASML. His 2024 Short-Term Incentive (STI) was calculated based on his cumulative base salary of €242,000 with an STI target of 100% until the 2024 Annual General Meeting (AGM), as a non-President, and his cumulative base salary of €737,000 with an STI target of 120% effective from the 2024 AGM, upon his appointment as President. 2. Wayne R. Allan was appointed as a member of the Board of Management on April 26, 2023. His 2024 Long-Term Incentive (LTI) is based on the signed grant letter with grant date January 27, 2023. Although he was not a member of the Board of Management at the time of the grant, Wayne R. Allan received the grant in anticipation of his appointment to the Board of Management. 3. Wayne R. Allan's 2024 Long-Term Incentive (LTI) expense does not include the accounting release associated with the 2022 LTI plans that vested, as he was not a member of the Board of Management at the time this plan was granted in 2022. 4. James (Jim) P. Koonmen was appointed as a member of the Board of Management on April 24, 2024. Although he was not a member of the Board of Management at the time of the grant, James (Jim) P. Koonmen received the grant in anticipation of his appointment to the Board of Management. 5. James (Jim) P. Koonmen's remuneration is paid in U.S. dollars. In 2024, his U.S. dollar-denominated equivalent of his cumulative base salary as a member of the Board of Management was $560,259 (€515,837). His 2024 Short-Term Incentive (STI) payout is calculated based on his U.S. dollar-denominated equivalent cumulative base salary, resulting in a total of $762,512 (€702,054). 6. Wayne R. Allan (2024: €102,867) and James (Jim) P. Koonmen (2024: €177,055) received compensation to address the effects of double taxation in both the Netherlands and the United States. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 381 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Peter T.F.M. Wennink and Martin A. van den Brink are no longer part of the Board of Management, as they retired as Presidents from ASML on April 24, 2024. Former Board of Management member Financial year Base salary Pension Other benefits Total fixed % Fixed STI LTI1 Total variable % Variable Ratio fixed/variable Total remuneration P.T.F.M. Wennink1 2024 345 82 119 2 546 10.9% 494 3 3,953 4,447 89.1% 0.12 4,993 2023 1,040 248 61 1,349 22.7% 1,400 3,192 4,592 77.3% 0.29 5,941 2022 1,020 206 58 1,284 30.0% 961 2,035 2,996 70.0% 0.43 4,280 M.A. van den Brink1 2024 345 82 111 2 538 10.8% 494 3 3,953 4,447 89.2% 0.12 4,985 2023 1,040 248 59 1,347 22.7% 1,400 3,192 4,592 77.3% 0.29 5,939 2022 1,020 206 57 1,283 30.0% 961 2,035 2,996 70.0% 0.43 4,279 Total former Board of Management 2024 690 164 230 1,084 10.9% 988 7,906 8,894 89.1% 0.12 9,978 2023 2,080 496 120 2,696 22.7% 2,800 6,384 9,184 77.3% 0.29 11,880 2022 2,040 412 115 2,567 30.0% 1,922 4,070 5,992 70.0% 0.43 8,559 1. On April 24, 2024, Peter T.F.M. Wennink and Martin A. van den Brink stepped down from their roles as Presidents of ASML. They are still eligible for the performance shares awarded under the LTI plans for the years 2022, 2023 and 2024, which will vest based on the performance criteria outlined in their grant letters. Their 2024 LTI plan has been granted on a pro rated in time basis to reflect end of term. Consequently, the remaining associated LTI expenses have been recognized over the remaining service period, from the announcement of their retirement on November 30, 2023, until their actual retirement on April 24, 2024. 2. In 2024, Peter T.F.M. Wennink and Martin A. van den Brink received a jubilee award equivalent to their gross monthly salary. 3. In 2024, the on-target STI levels for Peter T.F.M. Wennink and Martin A. van den Brink were unaltered (105%). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 382 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Performance-based share-based remuneration for current members of the Board of Management is disclosed in the table below. Fractional shares are rounded to full shares for reporting purposes. Market based element Non-Market based element Board of Management Grant date Status Full control Number of shares at target Fair value at grant date Number of shares at target Fair value at grant date Total number of shares at target Total number of shares at maximum (200%) Vesting date Number of vested shares on publication date Year-end closing share price in year of vesting End of lock-up date C.D. Fouquet1 1/23/24 Conditional No 1,065 939.9 2,485 692.7 3,550 7,100 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 731 901.9 1,706 603.4 2,437 4,874 1/1/26 n/a n/a 1/1/28 4/29/22 Conditional2 No 483 596.0 1,126 533.5 1,609 3,217 1/1/25 2,128 678.7 1/1/27 1/22/21 Unconditional No 717 635.6 1,670 454.9 2,387 4,774 1/1/24 3,763 681.7 1/1/26 1/24/20 Unconditional No 858 286.9 2,001 263.7 2,859 5,718 1/1/23 5,208 503.8 1/1/25 F.J.M. Schneider-Maunoury 1/23/24 Conditional No 668 939.9 1,559 692.7 2,227 4,453 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 731 901.9 1,706 603.4 2,437 4,874 1/1/26 n/a n/a 1/1/28 4/29/22 Conditional2 No 483 596.0 1,126 533.5 1,609 3,217 1/1/25 2,128 678.7 1/1/27 1/22/21 Unconditional No 717 635.6 1,670 454.9 2,387 4,774 1/1/24 3,763 681.7 1/1/26 1/24/20 Unconditional No 858 286.9 2,001 263.7 2,859 5,718 1/1/23 5,208 503.8 1/1/25 R.J.M. Dassen 1/23/24 Conditional No 668 939.9 1,559 692.7 2,227 4,453 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 731 901.9 1,706 603.4 2,437 4,874 1/1/26 n/a n/a 1/1/28 4/29/22 Conditional2 No 483 596.0 1,126 533.5 1,609 3,217 1/1/25 2,128 678.7 1/1/27 1/22/21 Unconditional No 717 635.6 1,670 454.9 2,387 4,774 1/1/24 3,763 681.7 1/1/26 1/24/20 Unconditional No 858 286.9 2,001 263.7 2,859 5,718 1/1/23 5,208 503.8 1/1/25 W.R. Allan3 1/23/24 Conditional No 668 939.9 1,559 692.7 2,227 4,453 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 731 901.9 1,706 603.4 2,437 4,874 1/1/26 n/a n/a 1/1/28 J.P. Koonmen4,5 1/23/24 Conditional No 676 939.9 1,578 692.7 2,255 4,509 1/1/27 n/a n/a 1/1/29 1. Christophe D. Fouquet was appointed as President and CEO of ASML on April 24, 2024. His 2024 Long-Term Incentive (LTI) grant is based on the signed grant letter with grant date January 23, 2024. Although he was not formally appointed as President and CEO at the time of the grant, Christophe D. Fouquet received a grant on January 23, 2024, in anticipation of his forthcoming appointment as CEO and President of ASML. 2. The LTI plans that were granted on April 29, 2022 became unconditional after the vesting date on January 1, 2025. 3. Wayne R. Allan was appointed as a member of the Board of Management on April 26, 2023. His 2024 Long-Term Incentive (LTI) is based on the signed grant letter with grant date January 27, 2023. Although he was not a member of the Board of Management at the time of the grant, Wayne R. Allan received the grant in anticipation of his appointment to the Board of Management. 4.James (Jim) P. Koonmen was appointed as a member of the Board of Management on April 24, 2024. Although he was not a member of the Board of Management at the time of the grant, James (Jim) P. Koonmen received the grant in anticipation of his appointment to the Board of Management. 5. James (Jim) P. Koonmen's share-based remuneration is based on ASML NY shares (Nasdaq stock exchange). The fair value of his 2024 Long-Term Incentive (LTI) grant for the marked-based element is $1,034.6 and for the non-marked-based elements is $762.5. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 383 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Performance-based share-based remuneration for former members of the Board of Management is disclosed in the below table. Fractional shares are rounded down to full shares for reporting purposes. Of market-based element Of non-market-based elements Former Board of Management Grant date Status Full control Number of shares at target Fair value at grant date Number of shares at target Fair value at grant date Total number of shares at target Total number of shares at maximum (200%) Vesting date Number of vested shares on publication date Year-end closing share price in year of vesting End of lock-up date P.T.F.M. Wennink1 1/23/24 Conditional No 316 939.9 738 692.7 1,054 2,109 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 1,049 901.9 2,447 603.4 3,496 6,991 1/1/26 n/a n/a 1/1/28 4/29/22 Conditional2 No 709 596.0 1,655 533.5 2,364 4,727 1/1/25 3,126 678.7 1/1/27 1/22/21 Unconditional No 1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 5,531 681.7 1/1/26 1/24/20 Unconditional No 1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 8,420 503.8 1/1/25 M.A. van den Brink1 1/23/24 Conditional No 316 939.9 738 692.7 1,054 2,109 1/1/27 n/a n/a 1/1/29 1/27/23 Conditional No 1,049 901.9 2,447 603.4 3,496 6,991 1/1/26 n/a n/a 1/1/28 4/29/22 Conditional2 No 709 596.0 1,655 533.5 2,364 4,727 1/1/25 3,126 678.7 1/1/27 1/22/21 Unconditional No 1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 5,531 681.7 1/1/26 1/24/20 Unconditional No 1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 8,420 503.8 1/1/25 1. On April 24, 2024, Peter T.F.M. Wennink and Martin A. van den Brink stepped down from their roles as Presidents of ASML. They are still eligible for the performance shares awarded under the LTI plans for the years 2022, 2023 and 2024, which will vest based on the performance criteria outlined in their grant letters. Their 2024 LTI plan has been granted on a pro rated in time basis to reflect end of term. Consequently, the remaining associated LTI expenses have been recognized over the remaining service period, from the announcement of their retirement on November 30, 2023, until their actual retirement on April 24, 2024. 2. The LTI plans that were granted on April 29, 2022 became unconditional after the vesting date on January 1, 2025. No (personal) loans have been granted to the members of the Board of Management or the Supervisory Board and no guarantees or the like have been granted in favor of any of the members of the Board of Management and the Supervisory Board. No severance payments were granted to members of the Board of Management and the Supervisory Board in 2024. Clawback ASML has implemented the clawback provisions as laid down in the Dutch Civil Code in the agreements with the members of the Board of Management. Furthermore, in order to comply with the rules implementing incentive-based compensation recovery (clawback) as issued by the SEC and Nasdaq, the Supervisory Board adopted the ASML Clawback Policy under US/Nasdaq Rules. This policy has been filed as an exhibit to ASML's 2023 Annual Report on Form 20-F and is incorporated by reference into this report. No variable remuneration has been clawed back during 2024. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 384 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
Remuneration of the Supervisory Board in 2024 Overview of the remuneration of the Supervisory Board members based on incurred accounting expenses over the last five years (amounts are in € thousands): Supervisory Board member Membership fees 2024 Committee fees 2024 Allowances 20241 Ratio fixed/variable 2024 Total remuneration 2024 Total remuneration 2023 Total remuneration 2022 Total remuneration 2021 Total remuneration 2020 T.L. Kelly 80 38 11 1.0 129 137 126 107 88 A.P. Aris 100 48 6 1.0 154 152 144 127 95 B.M. Conix 80 40 6 1.0 126 109 99 63 n/a D.M. Durcan 80 38 26 1.0 144 137 126 112 57 D.W.A. East 80 34 6 1.0 120 119 99 93 59 N.S. Andersen 140 40 7 1.0 187 123 n/a n/a n/a J.P. de Kreij 80 43 6 1.0 129 85 n/a n/a n/a A.F.M. Everke 80 32 6 1.0 118 104 66 n/a n/a A.L. Steegen 80 32 6 1.0 118 109 66 n/a n/a Total 800 345 80 1.0 1,225 1,075 726 502 299 1. Allowances consist of fixed-expense allowances and allowances for intercontinental meetings. No pay has been granted in 2024 pursuant to the 'Remuneration in special circumstances clause' as included in the 2023 Remuneration Policy for the Supervisory Board. No variable pay has been granted to the current and former members during the last five years. The remuneration of the Supervisory Board is not directly linked to the performance of ASML, in line with the remuneration principles set out in the 2023 Remuneration Policy for the Supervisory Board. Overview of the remuneration awarded to the former Supervisory Board members in 2024, 2023 and 2022 (amounts are in € thousands): Supervisory Board member Total remuneration 2024 Total remuneration 2023 Total remuneration 2022 G.J. Kleisterlee n/a 61 190 R.D. Schwalb n/a 37 116 J.M.C. Stork n/a n/a 40 Total n/a 98 346 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 385 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
29. Principal accountant fees and services KPMG has served as our independent auditor for the years ended December 31, 2024 and 2023. The following table sets out the aggregate fees for professional audit services and other services rendered by KPMG and their member firms and affiliates in 2024 and 2023: Year ended December 31 2023 2024 (€, in thousands) KPMG Accountants N.V. KPMG Network Total KPMG Accountants N.V. KPMG Network Total Audit fees 3,509 1,152 4,661 3,857 1,188 5,045 Audit-related fees 196 — 196 812 13 825 Tax fees — — — — — — All other fees 28 11 39 85 2 87 Principal accountant fees 3,733 1,163 4,896 4,754 1,203 5,957 Audit fees and audit-related fees Our independent registered public accounting firm is KPMG Accountants N.V. (KPMG), Amstelveen, The Netherlands, Auditor Firm ID: 1012. Audit fees relate to the audit of the Financial statements as set out in this Annual Report, certain quarterly procedures, services related to offering memoranda, as well as our statutory and regulatory filings of our subsidiaries. These fees relate to the audit of the respective Financial statements, regardless of whether the work was performed during the financial year. Other audit-related fees are predominantly related to assurance services on the Sustainability statements. All other fees relate to certain agreed-upon procedures that are requested by the Supervisory Board or external parties. All audit fees, audit-related fees and permitted services that the independent auditor provides are subject to pre- approval by the Audit Committee. The Audit Committee pre-approved all audit and non-audit services and 100% of the external audit plan and audit fees for the years 2024 and 2023. The Audit Committee monitors compliance with the Dutch, EU regulation and SEC rules on non-audit services provided by an independent auditor, which outlines strict separation of audit and advisory services for Dutch public interest entities. 30. Subsequent events Subsequent events were evaluated up to March 5, 2025, which is the date the Consolidated financial statements included in this Annual Report were approved. On January 29, 2025, ASML announced to declare a total dividend for the year 2024 of €6.40 per ordinary share, which is a 4.9% increase compared to the 2023 total dividend of €6.10 per ordinary share. Recognizing the interim dividends of €1.52 per ordinary share paid in August 2024, November 2024 and February 2025, this leads to a final dividend proposal to the General Meeting of €1.84 per ordinary share. Veldhoven, the Netherlands March 5, 2025 Prepared by The Board of Management: Christophe D. Fouquet Roger J.M. Dassen Wayne R. Allan James (Jim) P. Koonmen Frédéric J.M. Schneider-Maunoury STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 386 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Consolidated financial statements (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 387 Consolidated financial statements Company financial statements Other information Appendices Definitions Company financial statements 388 Company balance sheet 389 Company statement of profit or loss 390 Notes to the Company financial statements


 
(Before appropriation of net income) Fixed assets Financial fixed assets 5 16,442.5 24,200.8 Derivative financial instruments 10 11.3 — Other fixed assets — 2.1 Total fixed assets 16,453.8 24,202.9 Current assets Cash and cash equivalents 4,060.8 10,999.7 Amounts due from subsidiaries 11 4,881.0 3,529.8 Current tax assets 603.6 127.4 Other current assets 10.1 25.5 Derivative financial instruments 10 11.3 110.1 Total current assets 9,566.8 14,792.5 Total assets 26,020.6 38,995.4 Year ended December 31 (€, in millions) Notes 2023 2024 Shareholders' equity Issued and outstanding shares 36.0 35.4 Share premium 4,493.9 4,554.3 Treasury shares at cost (3,306.2) (476.0) Retained earnings 3,502.8 5,166.6 Legal reserves 3,367.8 4,392.6 Net income 8,115.2 8,349.0 Total shareholders' equity 9 16,209.5 22,021.9 Non-current liabilities Long-term debt 6 4,610.5 3,659.1 Deferred and other tax liabilities 4 626.7 621.7 Derivative financial instruments 10 62.7 29.3 Total non-current liabilities 5,299.9 4,310.1 Current liabilities Amounts due to subsidiaries 11 4,383.5 11,554.8 Accrued and other liabilities 27.6 34.2 Derivative financial instruments 10 100.1 64.1 Current portion of long-term debt 6 — 1,010.3 Total current liabilities 4,511.2 12,663.4 Total equity and liabilities 26,020.6 38,995.4 Year ended December 31 (€, in millions) Notes 2023 2024 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 388 Consolidated financial statements Company financial statements Other information Appendices Definitions Company balance sheet


 
Year ended December 31 (€, in millions) Notes 2023 2024 Selling, general and administrative costs 8 (26.1) (31.0) Operating income (loss) (26.1) (31.0) Finance income 3 353.1 420.0 Finance costs 3 (441.0) (462.0) Income (loss) before income taxes (114.0) (73.0) Income tax benefit (expense) 4 (52.8) 54.6 Gain (loss) after taxes (166.8) (18.4) Net income of subsidiaries 5 8,282.0 8,367.4 Net income 8,115.2 8,349.0 STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 389 Consolidated financial statements Company financial statements Other information Appendices Definitions Company statement of profit or loss


 
1. General Information ASML Holding NV acts as a holding company within the group. The description of the activities and structure of the group, as included in the Notes to the Consolidated financial statements, also apply to the Company financial statements. The Company financial statements have been prepared in accordance with Title 9, Book 2 of the Dutch Civil Code. For setting the principles for the recognition and measurement of assets and liabilities and determination of results for its Company financial statements, the Company makes use of the option provided in section 2:362(8) of the Dutch Civil Code. This means that the principles for the recognition and measurement of assets and liabilities and determination of the result (hereinafter referred to as principles for recognition and measurement) of the Company financial statements are the same as those applied for the Consolidated EU-IFRS financial statements. These principles also include the classification and presentation of financial instruments, being equity instruments or financial liabilities. In case no other principles are mentioned, refer to the accounting principles as described in the Consolidated financial statements. The Company financial statements should be read in conjunction with the Consolidated financial statements. ASML Holding NV forms a tax unity together with certain of its Dutch subsidiaries, for purposes of Dutch tax laws and are as such jointly and severally liable for the tax debts of the unity: The fiscal unity comprises of ASML Holding NV, ASML Netherlands BV, ASML Trading BV and Hermes Microvision Incorporated BV. 2. Summary of significant accounting policies The accounting policies used in the preparation of the Company financial statements are the same as those used in the preparation of the Consolidated financial statements (in accordance with article 362 sub 8 Part 9 of Book 2 of the Dutch Civil Code). See the Notes to the Consolidated financial statements. In addition to those accounting policies, accounting policies apply to the Company financial statements as included in the Notes to the Company financial statements below. The accompanying Company financial statements are stated in millions of euros unless otherwise indicated. Investments in subsidiaries Investments in subsidiaries are all entities in which the Company has direct or indirect control. The Company controls an entity when it is exposed, or has rights, to variable returns from its involvement with the subsidiary and has the ability to affect those returns through its power over the subsidiary. Subsidiaries are recognized from the date on which control is obtained by the Company and derecognized from the date that control by the Company over the subsidiaries ceases. Investments in subsidiaries are accounted for in the Company Financial Statements according to the equity method, with the principles for the recognition and measurement of assets and liabilities and determination of results as set out in the Notes to the Consolidated Financial Statements. Amounts due from subsidiaries and amounts due to subsidiaries Amounts due from subsidiaries are recognized at fair value and subsequently measured at amortized cost, less allowance for credit losses. The carrying amount of the accounts receivable approximates the fair value. Amounts due to subsidiaries is initially recognized at fair value and subsequently measured at amortized cost. Net income of subsidiaries Net income of subsidiaries consists of the share of the Company in the result of these investments in subsidiaries. Results on transactions involving the transfer of assets and liabilities between the Company and its investments in subsidiaries and mutually between subsidiaries themselves are eliminated to the extent that they can be considered as not realized. Aforementioned eliminations in excess of the investment's carrying amount, which result in deferred income, are presented within the investments in financial fixed assets. 3. Finance income and costs Finance income of €420.0 million (2023: €353.1 million) mainly consists of net finance income on our intercompany current accounts receivable with our subsidiaries, and finance income from our cash and cash equivalents. Finance costs of €462.0 million (2023: €441.0 million) mainly consists of net finance expense on our intercompany current accounts payable, and net finance costs on our Eurobonds and related interest rate swaps. For information regarding finance costs, see Consolidated financial statements - Notes to the Consolidated financial statements - Note 16 Long-term debt, finance income and finance costs. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 390 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Company financial statements


 
4. Income taxes The reconciliation of the income tax expense from the Dutch statutory rate to the effective income tax rate is as follows: Year ended December 31 (€, in millions) 2023 %1 2024 %1 Income (loss) before income taxes, including net income of subsidiaries 8,168.0 100.0% 8,294.4 100.0% Income tax provision based on ASML’s domestic rate (2,107.3) 25.8% (2,140.0) 25.8% Adjustments in respect of tax exempt income 2,136.8 -26.2% 2,158.8 -26.0% Adjustments in respect of prior years’ current taxes 52.9 -0.6% (64.4) 0.8% Adjustments in respect of prior years’ deferred taxes (64.5) 0.8% 57.3 -0.7% Movements in the liability for uncertain tax positions (60.3) 0.7% 40.1 -0.5% Change in unrecognized deferred tax assets 28.1 -0.3% 9.7 -0.1% Effect of change in tax rates (20.1) 0.2% 0.0% Other credits and non-taxable items (18.4) 0.2% (6.9) 0.1% Income tax benefit (expense) (52.8) 0.6% 54.6 -0.6% 1. As a percentage of income before income taxes, including net income of subsidiaries. ASML Holding NV forms a tax unity together with several of its Dutch subsidiaries and all tax positions attributable to the fiscal unity are reported at the level of ASML Holding NV. The deferred and other tax liabilities include net deferred tax liabilities of €484.0 million (2023: €456.4 million) which mainly consist of a deferred tax liability on capitalized R&D expenditures within the fiscal unity. For information regarding the settlement of income taxes within the fiscal unity, see Note 7 Commitments, guarantees and contingencies. 5. Financial fixed assets Financial Fixed Assets relates to our investments in subsidiaries and loans to subsidiaries. Changes in investments in subsidiaries and loans to subsidiaries during 2024 and 2023 were as follows: (in millions, €) Investments Loans Total Balance at January 1, 2023 14,300.3 28.7 14,329.0 Capital contributions / additions 1,145.9 270.0 1,415.9 Capital repayments / repayments of loans (160.8) (0.5) (161.3) Dividends received (7,339.2) — (7,339.2) Net income from subsidiaries 8,282.0 — 8,282.0 Effect of exchange rates (67.9) (1.0) (68.9) Derivative financial instruments (15.2) — (15.2) Proportionate share of other comprehensive income from associates 0.2 — 0.2 Balance at December 31, 2023 16,145.3 297.2 16,442.5 Capital contributions / additions 1,716.2 — 1,716.2 Capital repayments / repayments of loans — (27.2) (27.2) Dividends received (2,408.3) — (2,408.3) Net income from subsidiaries 8,367.4 — 8,367.4 Effect of exchange rates 93.0 93.0 Derivative financial instruments 29.3 — 29.3 Proportionate share of other comprehensive income from associates (12.1) — (12.1) Balance at December 31, 2024 23,930.8 270.0 24,200.8 A revolving credit facility agreement with one of the subsidiaries of ASML Holding NV. existed in 2023 for which an amount of USD 30 million was outstanding under this credit facility at the end of 2023. This credit facility ceased to exist as of January 1, 2024. For a list of our main subsidiaries, see Consolidated financial statements - Notes to the Consolidated financial statements - Note 27 Subsidiaries and Associates. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 391 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Company financial statements (continued)


 
6. Long-term debt Long-term debt and our current portion of long-term debt consist of our Eurobonds. See Consolidated financial statements - Notes to the Consolidated financial statements - Note 16 Long-term debt, finance income and finance costs. 7. Commitments, guarantees and contingencies ASML Holding NV has assumed joint and several liabilities in accordance with article 403 Part 9 of Book 2 of The Dutch Civil Code with respect to the following Dutch subsidiaries: ASML Netherlands BV, Cymer BV, ASML Trading BV and Hermes Microvision Incorporated BV. Furthermore, ASML Holding NV has guaranteed all liabilities outstanding at December 31, 2024, until all are satisfied in full, in accordance with section 479C of the UK Companies Act 2006 with respect to its overseas subsidiary ASML (UK) Ltd.(registered number SC176574). From time to time, we provide guarantees to third parties in connection with transactions entered into by our subsidiaries in the ordinary course of business. As of December 31, 2024, we have guarantees for a total of €64 million outstanding. ASML Holding NV forms a tax unity together with certain of its Dutch subsidiaries, for purposes of Dutch tax laws and are as such jointly and severally liable for the tax debts of the unity: The fiscal unity comprises as of December 31, 2024 of ASML Holding NV, ASML Netherlands BV, ASML Trading BV and Hermes Microvision Incorporated BV. All tax positions attributable to the fiscal unity (current and deferred) are reported at the level of ASML Holding NV, whereby income tax expense is allocated to the Dutch subsidiaries based on the individual profit before tax multiplied by the statutory tax rate. Within the fiscal unity, the tax positions are subsequently settled with the subsidiaries. 8. Personnel All employees of ASML Holding NV are based in the Netherlands. The average number of employees employed by ASML Holding NV in 2024 is 5 (2023: 6). For information regarding the remuneration of the (former) members of the Board of Management and Supervisory Board see Consolidated financial statements - Notes to the Consolidated financial statements - Note 28 Board of Management and Supervisory Board Remuneration. Other benefits remuneration as disclosed in Consolidated financial statements - Notes to the Consolidated financial statements - Note 28 Board of Management and Supervisory Board Remuneration include social security costs for an amount of €53.6 thousand (2023: €57.2 thousand). STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 392 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Company financial statements (continued)


 
9. Shareholders' equity (Before appropriation of net income) Year ended December 31 (€, in millions) Notes1 Share Capital2 Share Premium Treasury Shares at Cost Retained Earnings Legal Reserves3 Net Income Total Balance at January 1, 2023 36.3 4,431.6 (4,641.3) 2,301.9 2,761.7 6,395.8 11,286.0 Prior year net income — — — 6,395.8 — (6,395.8) — Components of comprehensive income: Net income — — — — — 8,115.2 8,115.2 Share of OCI from associate — — — — 0.2 — 0.2 Foreign currency translation — — — — (67.6) — (67.6) Gain (loss) on financial instruments4 25 — — — — (15.2) — (15.2) Total comprehensive income — — — — (82.6) 8,115.2 8,032.6 Purchase of treasury shares — — (1,000.0) — — — (1,000.0) Cancellation of treasury shares (0.3) — 2,105.1 (2,104.8) — — — Share-based payments 20 — 139.8 — — — — 139.8 Issuance of shares — (77.5) 230.0 (53.1) — — 99.4 Dividend paid — — — (2,348.3) — — (2,348.3) Development expenditures — — — (689.1) 689.1 — — Balance at December 31, 2023 36.0 4,493.9 (3,306.2) 3,502.8 3,367.8 8,115.2 16,209.5 Prior year net income — — — 8,115.2 — (8,115.2) — Components of comprehensive income: Net income — — — — — 8,349.0 8,349.0 Share of OCI from associate — — — — (12.1) — (12.1) Foreign currency translation — — — — 93.0 — 93.0 Gain (loss) on financial instruments4 25 — — — — 29.3 — 29.3 Total comprehensive income — — — — 110.2 8,349.0 8,459.2 Purchase of treasury shares (0.1) — (499.9) — — — (500.0) Cancellation of treasury shares (0.5) — 3,050.4 (3,049.9) — — — Share-based payments 20 — 182.1 — — — — 182.1 Issuance of shares — (121.7) 279.7 (34.0) — — 124.0 Dividend paid — — — (2,452.9) — — (2,452.9) Development expenditures — — — (914.6) 914.6 — — Balance at December 31, 2024 35.4 4,554.3 (476.0) 5,166.6 4,392.6 8,349.0 22,021.9 1. Note reference numbers included in the table above relate to the notes in the Consolidated financial statements. 2. As of December 31, 2024, the number of issued shares was 393,830,692. This includes the number of issued and outstanding shares of 393,283,720 and the number of treasury shares of 546,972. As of December 31, 2023, the number of issued shares was 399,584,578. This includes the number of issued and outstanding shares of 393,421,721 and the number of treasury shares of 6,162,857. 3. Legal reserves consist of reserves that have to be established in certain circumstances in accordance with the Dutch Civil Code. The legal reserves consist of other comprehensive income from associate, the hedging reserve, the currency translation reserve and the reserve for capitalized development expenditures made by our subsidiaries and are equal to the amounts as recorded in our Consolidated financial statements. See Consolidated financial statements - Notes to the Consolidated financial statements - Note 22 Shareholders’ equity. 4. The gain (loss) on financial instruments includes income taxes recognized directly in other comprehensive income of €5.3 million (2023: €(2.7) million, 2022: €(5.2) million). For further information related to Equity, see Consolidated financial statements - Notes to the Consolidated financial statements - Note 22 Shareholders’ equity. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 393 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Company financial statements (continued)


 
10. Derivative financial instruments We use derivative financial instruments for the management of foreign currency risks and interest rate risks. See Consolidated financial statements - Notes to the Consolidated financial statements - Note 25 Financial risk management. General The Group has exposure to the following risks from its use of financial instruments: • Credit risk; • Liquidity risk; and • Market risk (including foreign currency risk and interest rate risk). In the Notes to the Consolidated financial statements, information is included about the Group’s exposure to each of the above risks, the Group’s objectives, policies and processes for measuring and managing risk, and the Group’s management of capital. These risks, objectives, policies and processes for measuring and managing risk, and the management of capital apply also to the Company financial statements of ASML Holding NV. Further quantitative disclosures are included below. Fair value The fair values of most of the financial instruments stated on the Company balance sheet, including loans to subsidiaries, accounts receivable, cash at bank and in hand and current liabilities, are close to their carrying amounts. For further information, please see Note 5 Financial fixed assets and Note 11 Amounts due from / due to subsidiaries. The estimated fair value of the forward foreign exchange contracts and interest rate swaps at December 31, 2024 and 2023 are: As of December 31 2023 2024 (€, in millions) Assets Liabilities Assets Liabilities Interest rate swaps – fair value hedges 11.3 130.1 9.3 70.9 Forward foreign exchange contracts – no hedge accounting 11.3 32.7 100.8 22.5 Total 22.6 162.8 110.1 93.4 Less non-current portion: Interest rate swaps - fair value hedges 11.3 62.7 — 29.3 Total current portion 11.3 100.1 110.1 64.1 11. Amounts due from / due to subsidiaries Interest on amounts due from and to subsidiaries is calculated based on monthly base rates plus a market related margin. All balances due from/due to subsidiaries are repayable on demand. 12. Principal accountant fees and services For information regarding auditor’s fees, see Consolidated financial statements - Notes to the Consolidated financial statements - Note 29 Principal accountant fees and services. 13. Subsequent events Refer to Note 30 Subsequent events as included in the Notes to the Consolidated financial statements. Veldhoven, the Netherlands March 5, 2025 Prepared by The Board of Management: Christophe D. Fouquet Roger J.M. Dassen Wayne R. Allan James (Jim) P. Koonmen Frédéric J.M. Schneider-Maunoury STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 394 Consolidated financial statements Company financial statements Other information Appendices Definitions Notes to the Company financial statements (continued)


 
STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 395 Consolidated financial statements Company financial statements Other information Appendices Definitions Other information 396 Appropriation of profits 396 Adoption of the Financial Statements 396 Voting rights 396 Branch offices 397 Independent auditor’s report 403 Limited assurance report of the independent auditor on the Sustainability statements


 
Appropriation of profits A brief summary of the most significant provisions of our Articles of Association has been included in Consolidated financial statements - Notes to the Consolidated financial statements - Note 22 Shareholders’ equity. Adoption of Financial Statements The Board of Management will submit our Financial statements, together with an independent auditor’s report in respect thereof, to the General Meeting for adoption. Voting rights We are subject to the relevant provisions of Dutch law applicable to large corporations (the "structuurregime"). These provisions have the effect of concentrating control over certain corporate decisions and transactions in the hands of the Supervisory Board. Members of the Board of Management are appointed by the Supervisory Board. The Supervisory Board shall notify the General Meeting of intended appointments to the Board of Management. General Meetings will be held at least once a year. We do not solicit from or nominate proxies for our shareholders. However, shareholders and other persons entitled to attend General Meetings may be represented by proxies. Extraordinary General Meetings may be held as often as deemed necessary by the Supervisory Board or Board of Management and must be held if one or more ordinary or cumulative preference shareholders jointly representing at least 10% of the issued share capital make a written request to that effect to the Supervisory Board and the Board of Management specifying in detail the business to be dealt with. Resolutions are adopted at General Meetings by an absolute majority of the votes cast (except where a different proportion of votes are required by the Articles of Association or Dutch law) and there are generally no quorum requirements applicable to such meetings. In the General Meeting each share confers the right to cast one vote. Refer for further details including special voting rights to Consolidated financial statements - Notes to the Consolidated financial statements - Note 22 Shareholders’ equity. Branch offices ASML has branch offices in Belgium, France, Ireland, Israel, Italy, Russia, Korea and Taiwan that operate under the respective trade names Cymer BV Belgium branch, Cymer BV France branch, Cymer BV Ireland branch, Cymer BV Israel branch, Cymer BV Italy branch, The Branch of the Private Limited Liability Company ASML Trading BV, ASML Hong Kong Ltd. Korea branch and ASML Taiwan Ltd. Tainan branch. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 396 Consolidated financial statements Company financial statements Other information Appendices Definitions Other Information


 
Independent auditor’s report To: the General Meeting of Shareholders and the Supervisory Board of ASML Holding NV Report on the audit of the financial statements 2024 included in the Annual Report Our opinion In our opinion: • the accompanying consolidated financial statements give a true and fair view of the financial position of ASML Holding NV as at December 31, 2024 and of its result and its cash flows for the year then ended, in accordance with IFRS Accounting Standards as endorsed by the European Union (EU-IFRS) and with Part 9 of Book 2 of the Dutch Civil Code. • the accompanying company financial statements give a true and fair view of the financial position of ASML Holding NV as at December 31, 2024 and of its result for the year then ended in accordance with Part 9 of Book 2 of the Dutch Civil Code. What we have audited We have audited the financial statements 2024 of ASML Holding NV (the company) based in Veldhoven. The financial statements include the consolidated financial statements and the company financial statements. The consolidated financial statements comprise: 1.the consolidated statement of financial position as at December 31, 2024; 2.the following consolidated statements for 2024: profit or loss, comprehensive income, changes in equity and cash flows; and 3.the notes comprising material accounting policy information and other explanatory information. The company financial statements comprise: 1.the company balance sheet as at December 31, 2024; 2.the company statement of profit or loss for 2024; and 3.the notes comprising a summary of the accounting policies and other explanatory information. Basis for our opinion We conducted our audit in accordance with Dutch law, including the Dutch Standards on Auditing. Our responsibilities under those standards are further described in the ‘Our responsibilities for the audit of the financial statements’ section of our report. We are independent of ASML Holding NV in accordance with the ‘Verordening inzake de onafhankelijkheid van accountants bij assurance-opdrachten’ (ViO, Code of Ethics for Professional Accountants, a regulation with respect to independence) and other relevant independence regulations in the Netherlands. Furthermore, we have complied with the ‘Verordening gedrags- en beroepsregels accountants’ (VGBA, Dutch Code of Ethics). We designed our audit procedures in the context of our audit of the financial statements as a whole and in forming our opinion thereon. The information in respect of going concern, fraud and non-compliance with laws and regulations, climate-related risks and the key audit matter was addressed in this context, and we do not provide a separate opinion or conclusion on these matters. We believe the audit evidence we have obtained is sufficient and appropriate to provide a basis for our opinion. Information in support of our opinion Summary Materiality • Materiality of EUR 350 million • 3.5% of income before income taxes of 2024. Group audit • Performed substantive procedures for 94.1% of total assets. • Performed substantive procedures for 99.6% of revenue. Risks of material misstatements related to Fraud, NOCLAR, Going concern and Climate-related risks • Fraud risks: presumed risk of management override of controls and presumed risk of revenue recognition, as further described in the section ‘Audit response to the risk of fraud and non-compliance with laws and regulations’. • Non-compliance with laws and regulations (NOCLAR) risks: no reportable risk of material misstatements related to NOCLAR risks identified. • Going concern risks: no going concern risks identified. • Climate-related risks: We have considered the impact of climate-related risks on the financial statements and described our approach and observations in the section ‘Audit response to climate-related risks’. Key audit matter • Revenue recognition – Identification of distinct performance obligations in certain volume purchase agreements, and revenue cut-off. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 397 Consolidated financial statements Company financial statements Other information Appendices Definitions Independent auditor's report


 
Materiality Based on our professional judgement we determined the materiality for the financial statements as a whole at EUR 350 million (2023: EUR 350 million). The materiality is determined with reference to income before income taxes (3.5%). We consider income before income taxes as the most appropriate benchmark following our analysis of the common information needs of users of the financial statements. We have also taken into account misstatements and/or possible misstatements that in our opinion are material for the users of the financial statements for qualitative reasons. We agreed with the Audit Committee of the Supervisory Board that misstatements identified during our audit in excess of EUR 17.5 million would be reported to them, as well as smaller misstatements that in our view must be reported on qualitative grounds. Scope of the group audit ASML Holding NV is at the head of a group of entities (hereafter “Group”). The financial information of this group is included in the financial statements of ASML Holding NV. This year, we applied the revised group auditing standard in our audit of the financial statements. The revised standard emphasizes the role and responsibilities of the group auditor. The revised standard contains new requirements for the identification and classification of components, scoping, and the design and performance of audit procedures across the group. As a result, we determine coverage differently and comparisons to prior period coverage figures are not meaningful. We performed risk assessment procedures throughout our audit to determine which of the Group’s components are likely to include risks of material misstatement to the Group financial statements. To appropriately respond to those assessed risks, we planned and performed further audit procedures, either at component level or centrally. Mirroring the high level of centralization of the Groups operations, we identified one component associated with a risk of material misstatement. As group auditor we audited this component. We set component performance materiality levels considering the component’s size and risk profile. We have performed substantive procedures for 99.6% of Group revenue and 94.1% of Group total assets. At group level, we assessed the aggregation risk in the remaining financial information and concluded that there is less than reasonable possibility of a material misstatement. We consider that the scope of our group audit forms an appropriate basis for our audit opinion. Through performing the procedures mentioned above we obtained sufficient and appropriate audit evidence about the Group’s financial information to provide an opinion on the financial statements as a whole. Audit response to the risk of fraud and non-compliance with laws and regulations In the section ‘Strategic report’ of the annual report, management describes its procedures in respect of the risk of fraud and non-compliance with laws and regulations and the supervisory board reflects on this in the section ‘Supervisory Board report’. As part of our audit, we have gained insights into the company and its business environment and the company’s risk management in relation to fraud and non-compliance. Our procedures included, among other things, assessing the company’s code of conduct, Speak Up Policy, incidents register and its procedures to investigate indications of possible fraud and non-compliance. We have also obtained an understanding of how the company uses information technology (IT) and the impact of IT on the financial statements, including the potential for cybersecurity incidents to have a material impact on the financial statements. Furthermore, we performed relevant inquiries with management, the Audit Committee of the Supervisory Board and other relevant functions, such as Internal Audit and Legal and included correspondence with relevant supervisory authorities and regulators in our evaluation. We have also incorporated elements of unpredictability in our audit, such as: we selected items in the cut-off period that are outside customary selection parameters. Furthermore, we involved forensic specialists in our audit procedures. In addition, we assessed that the changed export regulations impact a number of areas in the financial statements. This includes the potential impact on revenue recognition and related balance sheet positions and disclosures. Furthermore, possible non-compliance with export regulations could result in fines and penalties requiring accruals and/or disclosures. As a result from our risk assessment, we identified the following laws and regulations as those most likely to have a material effect on the financial statements in case of non-compliance: • Export legislation (reflecting the company’s products and global customer base); • Information protection legislation (reflecting the company’s significant number of patents and research and development expenditures); • Employment legislation (reflecting the company’s significant and geographically diverse work force, including General Data Protection Regulation). Based on the above and on the auditing standards, we identified fraud risks that are relevant to our audit, including the relevant presumed risks laid down in the auditing standards in respect of revenue recognition and management override of controls, of which the first is described as part of our key audit matter. We have responded as follows: STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 398 Consolidated financial statements Company financial statements Other information Appendices Definitions Independent auditor's report (continued)


 
Management override of controls (a presumed risk) Risk: • Management is in a unique position to manipulate accounting records and prepare fraudulent financial statements by overriding controls that otherwise appear to be operating effectively. We identified this risk primarily in areas where judgement is involved as management may rationalize unrealistic or unreliable assumptions used in developing estimates, such as in relation to revenue recognition. Responses: • We evaluated the design and the implementation and tested the operating effectiveness of internal controls that mitigate fraud risks, included in processes related to journal entries and estimates; • We performed a data analysis of high-risk journal entries and evaluated key estimates and judgments for bias by the company’s management, including retrospective reviews of prior years’ estimates such as with respect to revenue recognition. Where we identified instances of unexpected journal entries or other risks through our data analytics, we performed additional audit procedures to address each identified risk, including testing of transactions back to source information; • We performed data analyses on selected balance sheet and profit and loss balances to identify possible increased fraud risks. Our evaluation of procedures performed related to the management override of controls risk did not result in an additional key audit matter. We communicated our risk assessment, audit responses and results to management and the Audit Committee of the Supervisory Board. Our audit procedures did not reveal indications and/or reasonable suspicion of fraud and non-compliance that are considered material for our audit. Audit response to going concern Management has performed its going concern assessment and has not identified any going concern risks. To assess management’s assessment, we have performed, inter alia, the following procedures: • we considered whether management’s assessment of the going concern risks includes all relevant information of which we are aware as a result of our audit; • we considered whether the developments in export regulations, the delayed recovery of the semiconductor market, a decline in share price of the company, and the effect of climate-related risks indicate a going concern risk; • we analysed the company’s financial position as at year-end and compared it to the previous financial year in terms of indicators that could identify going concern risks. The outcome of our risk assessment procedures did not give reason to perform additional audit procedures on management’s going concern assessment. Audit response to climate-related risks The company has set out its ambitions relating to climate change in the chapter ‘Energy efficiency and climate action: Climate Transition Plan’ of the annual report. The company’s ambition is to reach greenhouse gas neutrality across their value chain by 2040 in stages. They aim to achieve this across their manufacturing and buildings (scope 1 and 2) and for business travel and commuting (scope 3) by 2025, in their supply chain (scope 3 upstream) and logistics by 2030, and from the use of their products and services by customers (scope 3 downstream) by 2040. Management has performed an update to their scenario analyses, against the background of the company’s business and operations in detail, how climate-related risks and opportunities and the company’s own ambitions could have a significant impact on its business or could impose the need to adapt its strategy and operations. Management has considered the impact of both transition and physical risks. Management considers that these scenarios provide a reasonable range of potential impacts applicable to the company. Management prepared its assessment based on a holistic approach concluding that no material impact is identified on the FY2024 financial statements. In preparing the financial statements, management considered whether the implications from climate-related risks and ambitions, and the current and anticipated financial effects relating to sustainability matters as disclosed in the sustainability statements, have been appropriately accounted for and disclosed. As part of our audit we performed a risk assessment of the impact of climate-related risks and the ambitions made by the company in respect of climate change on the FY2024 financial statements and our audit approach. In doing this we performed the following: • To understand management's assessment we: ◦ Performed inquiries with relevant functions in the company including the Board of Management and the Audit Committee of the Supervisory Board; ◦ Inspected relevant supporting documentation, such as management’s climate risk analysis; • The company has disclosed that it has prepared its sustainability statements in accordance with the European Sustainability Reporting Standards (ESRS). We have read, and considered as part of our risk assessment, these sustainability statements, which include information over material sustainability matters relating to material impacts, risks and opportunities relating to climate change. As part of this, we have read and considered the information reported over the connectivity of the sustainability statements with the financial statements, more specifically relating to the following current and anticipated financial effects relating to sustainability matters: ◦ Current financial effects: in the Energy efficiency and climate action: Resilience analysis, the company disclosed to not have material current financial effects; and ◦ Anticipated financial effects: in the Energy efficiency and climate action: Resilience analysis, the company disclosed the anticipated financial effects, applying the phase-in provisions of the CSRD and did not quantify the anticipated financial effects. • We have evaluated climate related fraud risk factors, including focus from external stakeholders on ESG, and the KPI’s related to ESG as linked to the Board of Management’s remuneration. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 399 Consolidated financial statements Company financial statements Other information Appendices Definitions Independent auditor's report (continued)


 
• We have made use of a KPMG climate change subject matter expert to: ◦ Assess the company’s climate risk assessment and reporting, which the company prepared using the ESRS requirements of a climate change resilience analysis; ◦ Inspect the company’s climate risk adaptation and mitigation assessment (including climate change strategy); and ◦ Obtain insights into potential business implications of the climate risks and opportunities identified by the company. These insights provided us with a better understanding how climate-related risks and opportunities may affect the company and the preparation of the 2024 financial statements. The KPMG climate change subject matter expert was involved in obtaining an understanding of management’s process, participated in the inquiry sessions and inspected the aforementioned documentation. Based on our risk assessment procedures we have identified that climate related risks could possibly have an impact on the following accounts/disclosures: • The going concern assumption; • The valuation of long lived assets. Based on the procedures performed above we found climate related risks to have no material impact on the current financial statements under the requirements of EU-IFRS and no material impact on our key audit matter. Furthermore we have read the other information, including the information over material sustainability matters relating to material impacts, risks and opportunities relating to climate change, with respect to climate-related risks as included in the annual report and considered whether such information contains material inconsistencies with the financial statements or our knowledge obtained through the audit, in particular as described above and our knowledge obtained otherwise. Our key audit matter Key audit matters are those matters that, in our professional judgement, were of most significance in our audit of the financial statements. We have communicated the key audit matter to the Audit Committee of the Supervisory Board. The key audit matter is not a comprehensive reflection of all matters discussed. Revenue recognition - Identification of distinct performance obligations in certain volume purchase agreements and revenue cut-off Description As discussed in Note 2 to the consolidated financial statements, net system sales was EUR 21,769 million for the year ended December 31, 2024. Sales of systems are usually entered into with customers under volume purchase agreements (VPAs). These VPAs contain multiple performance obligations, including for example, delivery of goods, installation, warranty and training. We identified the evaluation of the distinct performance obligations identified by the company in certain VPAs as a key audit matter. A high degree of auditor judgment was required in evaluating the company’s identification of distinct performance obligations in these VPAs. In addition, given the high value of individual systems, we identified a risk that revenue related to certain system sales is not recognized when control is transferred to the customer, resulting in revenue not being recognized in the correct accounting period. This risk inherently includes the fraud risk that management deliberately overstates or understates revenue, as management may feel pressure to meet planned results. Our response The following are the primary procedures we performed to address this key audit matter. We evaluated the design and tested the operating effectiveness of certain internal controls related to the key audit matter. This included internal controls over the company’s revenue recognition process related to the identification of distinct performance obligations included in VPAs and transfer of control assessments for revenues recognized around accounting period end. We evaluated the identification of distinct performance obligations in a selection of VPAs by obtaining and reading the VPA and the underlying accounting analysis. Specifically, we evaluated the completeness and accuracy of the company’s identification of distinct performance obligations by considering terms, conditions and promises that were unique to the selected contracts. Finally, we inspected a selection of individual sales transactions before and after year-end to assess whether revenue was recognized in the correct accounting period by, among others, inspection of purchase orders, shipping documents, client acceptance documents and performance of stock counts. Our observation The results of our procedures relating to the identification of distinct performance obligations in certain VPAs and revenue cut-off in relation to certain system sales before and after year-end were satisfactory. Report on the other information included in the annual report In addition to the financial statements and our auditor’s report thereon, the annual report contains other information. Based on the following procedures performed, we conclude that the other information: • is consistent with the financial statements and does not contain material misstatements; and • contains the information as required by Part 9 of Book 2 of the Dutch Civil Code for the management report and other information. We have read the other information. Based on our knowledge and understanding obtained through our audit of the financial statements or otherwise, we have considered whether the other information contains material misstatements. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 400 Consolidated financial statements Company financial statements Other information Appendices Definitions Independent auditor's report (continued)


 
By performing these procedures, we comply with the requirements of Part 9 of Book 2 of the Dutch Civil Code and the Dutch Standard 720. The scope of the procedures performed is less than the scope of those performed in our audit of the financial statements. Management of ASML Holding NV is responsible for the preparation of the other information, including the information as required by Part 9 of Book 2 of the Dutch Civil Code. Report on other legal and regulatory requirements and ESEF Engagement We were initially appointed by the General Meeting of Shareholders as auditor of ASML Holding NV on April 30, 2015, as of the audit for the year 2016 and have operated as statutory auditor ever since that financial year. No prohibited non-audit services We have not provided prohibited non-audit services as referred to in Article 5(1) of the EU Regulation on specific requirements regarding statutory audits of public-interest entities. European Single Electronic Format (ESEF) ASML Holding NV has prepared its annual report in ESEF. The requirements for this are set out in the Delegated Regulation (EU) 2019/815 with regard to regulatory technical standards on the specification of a single electronic reporting format (hereinafter: the RTS on ESEF). In our opinion the annual report prepared in XHTML format, including the marked-up consolidated financial statements as included in the reporting package by ASML Holding NV, complies in all material respects with the RTS on ESEF. Management is responsible for preparing the annual report including the financial statements in accordance with the RTS on ESEF, whereby management combines the various components into one single reporting package. Our responsibility is to obtain reasonable assurance for our opinion whether the annual report in this reporting package complies with the RTS on ESEF. We performed our examination in accordance with Dutch law, including Dutch Standard 3950N ’Assurance-opdrachten inzake het voldoen aan de criteria voor het opstellen van een digitaal verantwoordingsdocument’ (assurance engagements relating to compliance with criteria for digital reporting). Our examination included among others: • Obtaining an understanding of the entity's financial reporting process, including the preparation of the reporting package; • Identifying and assessing the risks that the annual report does not comply in all material respects with the RTS on ESEF and designing and performing further assurance procedures responsive to those risks to provide a basis for our opinion, including: ◦ Obtaining the reporting package and performing validations to determine whether the reporting package containing the Inline XBRL instance document and the XBRL extension taxonomy files have been prepared in accordance with the technical specifications as included in the RTS on ESEF; ◦ Examining the information related to the consolidated financial statements in the reporting package to determine whether all required mark-ups have been applied and whether these are in accordance with the RTS on ESEF. Description of responsibilities regarding the financial statements Responsibilities of management and the Supervisory Board for the financial statements Management is responsible for the preparation and fair presentation of the financial statements in accordance with EU-IFRS and Part 9 of Book 2 of the Dutch Civil Code. Furthermore, management is responsible for such internal control as management determines is necessary to enable the preparation of the financial statements that are free from material misstatement, whether due to fraud or error. In that respect management, under supervision of the Supervisory Board, is responsible for the prevention and detection of fraud and non-compliance with laws and regulations, including determining measures to resolve the consequences of it and to prevent recurrence. As part of the preparation of the financial statements, management is responsible for assessing the company’s ability to continue as a going concern. Based on the financial reporting frameworks mentioned, management should prepare the financial statements using the going concern basis of accounting unless management either intends to liquidate the company or to cease operations, or has no realistic alternative but to do so. Management should disclose events and circumstances that may cast significant doubt on the company’s ability to continue as a going concern in the financial statements. The Supervisory Board is responsible for overseeing the company’s financial reporting process. Our responsibilities for the audit of the financial statements Our objective is to plan and perform the audit engagement in a manner that allows us to obtain sufficient and appropriate audit evidence for our opinion. Our audit has been performed with a high, but not absolute, level of assurance, which means we may not detect all material errors and fraud during our audit. Misstatements can arise from fraud or error and are considered material if, individually or in the aggregate, they could reasonably be expected to influence the economic decisions of users taken on the basis of these financial statements. The materiality affects the nature, timing and extent of our audit procedures and the evaluation of the effect of identified misstatements on our opinion. A further description of our responsibilities for the audit of the financial statements is included in the appendix of this auditor’s report. This description forms part of our auditor’s report. Amstelveen, March 5, 2025 KPMG Accountants N.V. P.J. Groenland – van der Linden RA STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 401 Consolidated financial statements Company financial statements Other information Appendices Definitions Independent auditor's report (continued)


 
Appendix Description of our responsibilities for the audit of the financial statements We have exercised professional judgement and have maintained professional scepticism throughout the audit, in accordance with Dutch Standards on Auditing, ethical requirements and independence requirements. Our audit included among others: • identifying and assessing the risks of material misstatement of the financial statements, whether due to fraud or error, designing and performing audit procedures responsive to those risks, and obtaining audit evidence that is sufficient and appropriate to provide a basis for our opinion. The risk of not detecting a material misstatement resulting from fraud is higher than the risk resulting from error, as fraud may involve collusion, forgery, intentional omissions, misrepresentations, or the override of internal control; • obtaining an understanding of internal control relevant to the audit in order to design audit procedures that are appropriate in the circumstances, but not for the purpose of expressing an opinion on the effectiveness of the company’s internal control; • evaluating the appropriateness of accounting policies used and the reasonableness of accounting estimates and related disclosures made by management; • concluding on the appropriateness of management’s use of the going concern basis of accounting, and based on the audit evidence obtained, whether a material uncertainty exists related to events or conditions that may cast significant doubt on the company’s ability to continue as a going concern. If we conclude that a material uncertainty exists, we are required to draw attention in our auditor’s report to the related disclosures in the financial statements or, if such disclosures are inadequate, to modify our opinion. Our conclusions are based on the audit evidence obtained up to the date of our auditor’s report. However, future events or conditions may cause a company to cease to continue as a going concern; • evaluating the overall presentation, structure and content of the financial statements, including the disclosures; and • evaluating whether the financial statements represent the underlying transactions and events in a manner that achieves fair presentation. We are responsible for planning and performing the group audit to obtain sufficient appropriate audit evidence regarding the financial information of the entities or business units within the group as a basis for forming an opinion on the financial statements. We are also responsible for the direction, supervision and review of the audit work performed for purposes of the group audit. We bear the full responsibility for the auditor’s report. We communicate with the Audit Committee of the Supervisory Board regarding, among other matters, the planned scope and timing of the audit and significant audit findings, including any significant findings in internal control that we identify during our audit. In this respect we also submit an additional report to the audit committee in accordance with Article 11 of the EU Regulation on specific requirements regarding statutory audits of public- interest entities. The information included in this additional report is consistent with our audit opinion in this auditor’s report. We provide the Audit Committee of the Supervisory Board with a statement that we have complied with relevant ethical requirements regarding independence, and to communicate with them all relationships and other matters that may reasonably be thought to bear on our independence, and where applicable, related safeguards. From the matters communicated with the Audit Committee of the Supervisory Board, we determine the key audit matters: those matters that were of most significance in the audit of the financial statements. We describe these matters in our auditor’s report unless law or regulation precludes public disclosure about the matter or when, in extremely rare circumstances, not communicating the matter is in the public interest. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 402 Consolidated financial statements Company financial statements Other information Appendices Definitions Independent auditor's report (continued)


 
To: the Supervisory Board of ASML Holding NV Our conclusion We have performed a limited assurance engagement on the consolidated sustainability statements for 2024 of ASML Holding NV based in Veldhoven (hereinafter: the company) in the section ‘Sustainability statements’ of the accompanying annual report, including the information incorporated in the sustainability statements by reference (hereinafter: the sustainability statements). Based on the procedures performed and the assurance evidence obtained, nothing has come to our attention that causes us to believe that the sustainability statements are not, in all material respects: • prepared in accordance with the European Sustainability Reporting Standards (ESRS) as adopted by the European Commission and in accordance with the double materiality assessment process carried out by the company to identify the information reported pursuant to the ESRS; and • compliant with the reporting requirements provided for in Article 8 of Regulation (EU) 2020/852 (Taxonomy Regulation). Basis for our conclusion We performed our limited assurance engagement on the sustainability statements in accordance with Dutch law, including Dutch Standard 3810N ‘Assurance-opdrachten inzake duurzaamheidsverslaggeving’ (Assurance engagements relating to sustainability reporting) which is a specified Dutch standard that is based on the International Standard on Assurance Engagements (ISAE) 3000 (Revised) ’Assurance engagements other than audits or reviews of historical financial information’. Our responsibilities under this standard are further described in the section ‘Our responsibilities for the assurance engagement on the sustainability statements’ section of our report. We are independent of ASML Holding NV in accordance with the ‘Verordening inzake de onafhankelijkheid van accountants bij assurance-opdrachten’ (ViO, Code of Ethics for Professional Accountants, a regulation with respect to independence). Furthermore, we have complied with the ‘Verordening gedrags- en beroepsregels accountants’ (VGBA, Dutch Code of Ethics for Professional Accountants). We believe the assurance evidence we have obtained is sufficient and appropriate to provide a basis for our conclusion. Emphasis of matter We draw attention to the section ‘Basis for preparation’ of the sustainability statements which sets out that the sustainability statements have been prepared in a context of new sustainability reporting standards. These standards require making entity-specific interpretations and addressing inherent measurement and/or evaluation uncertainties. This section furthermore describes possible sources of estimation and outcome uncertainty. It identifies circumstances around the quantitative metrics that are subject to a high level of measurement uncertainty and discloses information about the sources of measurement uncertainty and the assumptions, approximations and judgements the company has made in measuring these in compliance with the ESRS. The comparability of sustainability information between entities and over time may be affected by the lack of historical information in accordance with the ESRS. This allows for the application of different, but acceptable, measurement techniques, especially in the initial years. We also draw attention to the ‘Impact, risk and opportunity management’ section in the sustainability statements. This disclosure explains the double materiality assessment process, including robust engagement with affected stakeholders. Due diligence is an on-going practice that responds to and may trigger changes in the company’s strategy, business model, activities, business relationships, operating, sourcing and selling contexts. The sustainability statements may not include every impact, risk and opportunity or additional entity-specific disclosure that each individual stakeholder (group) may consider important in its own particular assessment. Our conclusion is not modified in respect to this emphasis of matter. Limitations to the scope of our assurance engagement Limited assurance has been provided on the sustainability information reported in the prior year’s integrated annual report, however, not in the context of the new sustainability reporting standards (ESRS). Consequently, the corresponding sustainability information and related disclosures for the year 2023 have not been subject to assurance procedures in the context of the ESRS. In reporting forward-looking information in accordance with the ESRS, the Board of Management of the company is required to prepare the forward-looking information on the basis of disclosed assumptions about events that may occur in the future and possible future actions by the company. The actual outcome is likely to be different since anticipated events frequently do not occur as expected. Forward-looking information relates to events and actions that have not yet occurred and may never occur. We do not provide assurance on the achievability of this forward- looking information. The references to external sources or websites in the sustainability information are not part of the sustainability information as included in the scope of our assurance engagement. We therefore do not provide assurance on this information. Our conclusion is not modified in respect to these matters. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 403 Consolidated financial statements Company financial statements Other information Appendices Definitions Limited assurance report of the independent auditor on the Sustainability statements


 
Responsibilities of the Board of Management and the Supervisory Board for the sustainability statements The Board of Management is responsible for the preparation of the sustainability statements in accordance with the ESRS, including the double materiality assessment process carried out by the company as the basis for the sustainability statements and disclosure of material impacts, risks and opportunities in accordance with the ESRS. As part of the preparation of the sustainability statements, management is responsible for compliance with the reporting requirements provided for in Article 8 of Regulation (EU) 2020/852 (Taxonomy Regulation). The Board of Management is also responsible for selecting and applying additional entity-specific disclosures to enable users to understand the company’s sustainability-related impacts, risks or opportunities and for determining that these additional entity-specific disclosures are suitable in the circumstances and in accordance with the ESRS. Furthermore, the Board of Management is responsible for such internal control as it determines is necessary to enable the preparation of the sustainability statements that is free from material misstatement, whether due to fraud or error. The Supervisory Board is responsible for overseeing the sustainability reporting process including the double materiality assessment process carried out by the company. Our responsibilities for the assurance engagement on the sustainability statements Our responsibility is to plan and perform the assurance engagement in a manner that allows us to obtain sufficient and appropriate assurance evidence for our conclusion. Our assurance engagement is aimed to obtain a limited level of assurance to determine the plausibility of sustainability information. The procedures vary in nature and timing from, and are less in extent, than for a reasonable assurance engagement. The level of assurance obtained in a limited assurance engagement is therefore substantially less than the assurance that is obtained when a reasonable assurance engagement is performed. A further description of our responsibilities for the assurance engagement on the sustainability statements is included in the appendix of this assurance report. This description forms part of our assurance report. Amstelveen, March 5, 2025 KPMG Accountants N.V. P.J. Groenland – van der Linden RA Appendix: Description of our responsibilities for the assurance engagement on the Sustainability statements. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 404 Consolidated financial statements Company financial statements Other information Appendices Definitions Limited assurance report of the independent auditor on the Sustainability statements (continued)


 
Appendix We apply the quality management requirements pursuant to the Nadere voorschriften kwaliteitsmanagement (NV KM, regulations for quality management) and accordingly maintain a comprehensive system of quality management including documented policies and procedures regarding compliance with ethical requirements, professional standards and applicable legal and regulatory requirements. Our limited assurance engagement included among others: • Performing inquiries and an analysis of the external environment and obtaining an understanding of relevant sustainability themes and issues, the characteristics of the company, its activities and the value chain and its key intangible resources in order to assess the double materiality assessment process carried out by the company as the basis for the sustainability statements and disclosure of all material sustainability-related impacts, risks and opportunities in accordance with the ESRS; • Obtaining through inquiries a general understanding of the internal control environment, the company’s processes for gathering and reporting entity-related and value chain information, the information systems and the company’s risk assessment process relevant to the preparation of the sustainability statements and for identifying the company’s activities, determining eligible and aligned economic activities and preparing the disclosures provided for in Article 8 of Regulation (EU) 2020/852 (Taxonomy Regulation), without obtaining assurance evidence about the implementation, or testing the operating effectiveness, of controls; • Assessing the double materiality assessment process carried out by the company and identifying and assessing areas of the sustainability statements, including the disclosures provided for in Article 8 of Regulation (EU) 2020/852 (Taxonomy Regulation) where misleading or unbalanced information or material misstatements, whether due to fraud or error, are likely to arise (‘selected disclosures’). We designed and performed further assurance procedures aimed at assessing that the sustainability statements disclosures are free from material misstatements responsive to this risk analysis; • Considering whether the description of the double materiality assessment process in the sustainability statements made by the Board of Management is consistent with the process carried out by the company; • Performing analytical review procedures on quantitative information in the sustainability statements, including consideration of data and trends in the information submitted for consolidation at corporate level; • Assessing whether the company’s methods for developing estimates are appropriate and have been consistently applied for selected disclosures. We considered data and trends, however, our procedures did not include testing the data on which the estimates are based or separately developing our own estimates against which to evaluate management’s estimates; • Analysing, on a limited sample basis, relevant internal and external documentation available to the company (including publicly available information or information from actors throughout its value chain) for selected disclosures; • Reading the other information in the annual report to identify material inconsistencies, if any, with the sustainability statements and reconciling the relevant financial information with the financial statements; • Considering whether: ◦ the disclosures provided to address the reporting requirements provided for in Article 8 of Regulation (EU) 2020/852 (Taxonomy Regulation) for each of the environmental objectives, reconcile with the underlying records of the company and are consistent or coherent with the sustainability statements; ◦ the disclosures provided to address the reporting requirements provided for in Article 8 of Regulation (EU) 2020/852 (Taxonomy Regulation) appear reasonable, in particular whether the eligible economic activities meet the cumulative conditions to qualify as aligned and whether the technical screening criteria are met; and ◦ the key performance indicators disclosures have been defined and calculated in accordance with the Taxonomy reference framework as defined in Appendix 1 Glossary of Terms of the CEAOB Guidelines on limited assurance on sustainability reporting adopted on 30 September 2024 , and in compliance with the reporting requirements provided for in Article 8 of Regulation (EU) 2020/852 (Taxonomy Regulation), including the format in which the activities are presented. • Considering the overall presentation, structure and the fundamental qualitative characteristics of information (relevance and faithful representation: complete, neutral and accurate) reported in the sustainability statements, including the reporting requirements provided for in Article 8 of Regulation (EU) 2020/852 (Taxonomy Regulation); and • Considering, based on our limited assurance procedures and evaluation of the assurance evidence obtained, whether the sustainability statements as a whole, are free from material misstatements and prepared in accordance with the ESRS. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 405 Consolidated financial statements Company financial statements Other information Appendices Definitions Limited assurance report of the independent auditor on the Sustainability statements (continued)


 
x STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 406 Consolidated financial statements Company financial statements Other information Appendices Definitions Other appendices 407 Special note regarding forward-looking statements 408 Appendix - Financial calendar and investor relations 409 Appendix - ASML contact information 410 Definitions 417 Signatures


 
General This Annual Report contains statements relating to our business, expected results, business and industry trends, environmental targets, and other matters that are “forward- looking” within the meaning of the Private Securities Litigation Reform Act of 1995. You can generally identify these statements by the use of words like “may”, “will”, “opportunity”, “potential”, “could”, “should”, “project”, “believe”, “anticipate”, “expect”, “plan”, “estimate”, “forecast”, “model”, “aim”, “seek”, “intend”, “continue”, “commit”, “target”, “future”, “progress”, “goal” and variations of these words or comparable words. They appear in a number of places throughout this Annual Report and include statements with respect to: expected trends, plans, expectations, strategies, priorities, goals, and outlook, expected financial results, including expected results for Q1 and full year 2025, including expectations with respect to revenue, gross margin, estimated annualized effective tax rate, sales by market segment and net service and field option sales and expected drivers thereof, and other full year 2025 expectations and outlook, expectations with respect to expected revenue growth in 2026 and other statements with respect to outlook and expected drivers thereof, statements made at our 2024 Investor Day, including revenue and gross margin opportunity, model and potential for 2025 and 2030 and annual growth in sales 2025-2030 and expectations on growth in semiconductor end markets, statements made in the section entitled “Long-term growth opportunities”, expected capital expenditures, and R&D spending targets and plans, expected business and industry trends and outlook, including expected semiconductor industry size and trends and trends in markets served by our customers, expected growth in the semiconductor industry and ecosystem and expectations of worldwide semiconductor sales by 2030, expected GDP growth, business environment trends, including expected demand, utilization, inventory levels, expected recovery in the semiconductor industry and expected timing thereof, expected growth in global wafer capacity, expectations about the emergence of AI and its expected impact on the semiconductor market and expected trends in AI, electrification and the energy transition, expected growth in semiconductor end markets and market opportunity for 2025 and 2030 and outlook CAGR from 2025 to 2030 and key drivers and global trends expected to fuel semiconductor growth in the longer term, statements made in the section entitled “Macroeconomic and geopolitical trends”, plans to increase global semiconductor capacity and expected growth in semiconductor ecosystem, Moore’s Law and continuation of shrink, including the expectation of lithography remaining one of the key drivers of Moore’s law, expected trends in customer demand, export control policy and regulations and expected impact on us, our plans to increase capacity, and expected or planned production capacity, expectations with respect to systems being operational in customer factories, expectations about the use of our tools by customers including expected timing of high-volume production of systems, such as Twinscan EXE, product roadmaps and customer roadmaps, our expectation that lithography will continue to be at the heart of customer innovation, expected productivity and other attributes and benefits of our tools, our environmental, social, and governance (ESG) and sustainability strategy, plans, commitments and targets, including emissions and waste reduction aims, commitments and targets and our aim for SBTi approval of certain of our targets and our expectations about meeting or being on track to meet these targets and other ESG goals and targets, recycling and refurbishment initiatives, energy-saving and renewable energy use strategies and targets, including plans and targets to achieve greenhouse gas neutrality and emissions reductions targets, our target to achieve zero waste from operations to landfill and incineration and target dates to achieve those targets, assumptions underlying our projections related to ESG targets and reliance on suppliers to meet ESG goals to enable us to meet our ESG goals, plans to purchase renewable energy and carbon credits, potential for semiconductors to reduce greenhouse gas emissions, plans for our systems to use less energy and our energy savings plans, and diversity and other ESG targets and commitments, capital allocation policy and cash return and dividend policy and statements about our share buyback program and our proposed dividend for 2025 and other non-historical statements. These forward-looking statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about business and future financial results, and readers should not place undue reliance on them. Forward-looking statements do not guarantee future performance, and actual results may differ materially from projected results as a result of certain risks and uncertainties. These risks and uncertainties include, without limitation, those described under the section entitled “How we manage risk – Risk factors”. These forward-looking statements are made only as of the date of this Annual Report. We do not undertake to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise. Regarding emission reduction targets This Annual Report contains statements relating to our approach to and progress on achieving certain energy efficiency and greenhouse gas emissions reduction targets, including our ambition to achieve greenhouse gas neutrality. References to “greenhouse gas neutral” means remaining emissions, after ASML’s efforts to reach its GHG emission reduction targets, are compensated by the same amount of metric tons of carbon credits that are verified against recognized quality standards. Unless otherwise indicated, information contained in this Annual Report concerning greenhouse gas emission reduction targets is based on our internal environmental management system implemented to monitor energy use and emissions, as well as publicly available information, including the guidance from the Greenhouse Gas Protocol for the calculation of the GHG emissions, the recommendations of the Task Force on Climate-related Financial Disclosures (TCFD) and certain conversion factors. Given that such data in the Sustainability statements is derived from various sources, is processed differently across our operating subsidiaries and departments, and depends on certain estimates and assumptions, there is an inherent degree of uncertainty in the estimations of such data. You are cautioned not to give undue weight to such data. Forward-looking information concerning greenhouse gas emissions and greenhouse gas neutrality are subject to qualifications and the uncertainties as set forth under “Special note regarding forward-looking statements” in this Annual Report. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 407 Consolidated financial statements Company financial statements Other information Appendices Definitions Special note regarding forward-looking statements


 
Financial calendar April 16, 2025 Announcement of First Quarter results for 2025 April 23, 2025 Annual General Meeting July 16, 2025 Announcement of Second Quarter results for 2025 October 15, 2025 Announcement of Third Quarter results for 2025 Fiscal Year ASML’s fiscal year ends on December 31, 2025 Investor Relations ASML Investor Relations supplies information regarding the company and its business opportunities to investors and financial analysts. Our annual reports, quarterly releases and other information are also available on our website. STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 408 Consolidated financial statements Company financial statements Other information Appendices Definitions Appendix - Financial calendar and investor relations


 
Corporate headquarters De Run 6501 5504 DR Veldhoven The Netherlands Mailing address P.O. Box 324 5500 AH Veldhoven The Netherlands Investor Relations Phone: +31 40 268 3938 Email: investor.relations@asml.com For additional contact information please visit asml.com STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 409 Consolidated financial statements Company financial statements Other information Appendices Definitions Appendix - ASML contact information


 
0–9 3TG Tin, tantalum, tungsten and gold A Affected communities People or groups of people living or working in areas in which ASML has operations and in areas affected by ASML’s value chain. AFM The Dutch Authority for the Financial Markets (Autoriteit Financiële Markten) AGM Annual General Meeting AI Artificial intelligence Applied Materials Inc. Semiconductor equipment company ARCNL Advanced Research Center for Nanolithography ArF Argon fluoride ArFi Argon fluoride immersion ASC Accounting Standards Codification ASC 730 Accounting Standards Codification Research and Development ASML ASML Holding NV and/or any of its subsidiaries and associates ASML Preference Shares Foundation Stichting Preferente Aandelen ASML ATP throughput Throughput of the measured system (in wph) according to the acceptance test protocol. B BEPS Base erosion and profit shifting Big data Extremely large data sets that may be analyzed computationally to reveal patterns, trends and associations. BoM ASML's Board of Management Bradley Curve Illustrates the relationship between accidents and corporate culture. Brainport Eindhoven A technology region in the south of the Netherlands comprising companies, educational institutions and governmental organizations. BREEAM Building Research Establishment Environmental Assessment Method Brion Brion Technologies, Inc. C CAGR Compound annual growth rate Canon Canon Kabushiki Kaisha Name Description Capex Capital expenditures, defined as additions in property, plant and equipment plus additions in intangible assets plus additions in right-of-use assets (operating and finance). Capital resources Financial, manufactured, intellectual, human, social and relationship, and natural elements employed to produce goods and services. Carl Zeiss SMT Carl Zeiss SMT GmbH Cash conversion rate An economic statistic in controlling that represents the relationship between cash flow and net profit. CD Critical dimension CDP The Carbon Disclosure Project CEO Chief Executive Officer CFO Chief Financial Officer CGU Cash-generating unit CGU ASML ASML excluding CGU Cymer Light Sources CHIPS and Science Act The Creating Helpful Incentives to Produce Semiconductors and Science Act of 2022 (CHIPS Act), signed into law in August 2022, designed to boost US competitiveness, innovation and national security. CISO Chief Information Security Officer CIT Corporate income tax CLA Collective labor agreement Cleanroom The central part of a wafer fab where wafers are processed and the environment is carefully controlled to eliminate dust and other contaminants. CMOS Complementary metal–oxide semiconductor CO2(e) Carbon dioxide (equivalent) Code The Dutch Corporate Governance Code Code of Conduct Code of ethics and conduct Collective Bargaining Agreement (CBA) A written agreement that defines the terms and conditions of employment for ASML employees and regulates relationship between ASML, ASML employees, trade unions and duly elected employee representatives. Company ASML Holding NV Computational lithography The use of powerful algorithms and computer modeling of the manufacturing process to optimize reticle patterns by intentionally deforming them to compensate for physical and chemical effects that occur during lithography and patterning. COO Chief Operations Officer COVID-19 Coronavirus disease 2019 Name Description STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 410 Consolidated financial statements Company financial statements Other information Appendices Definitions Definitions


 
CRMC Capital Research & Management Company CPP ASML’s Community Partnership Program CSPO Chief Strategic Sourcing & Procurement Officer CSRD Corporate Sustainability Reporting Directive Cymer Cymer Inc., Cymer LLC and its subsidiaries D DDR5 The 5th generation of double data rate synchronous dynamic random access memory D&E Development and engineering DEFRA A comprehensive set of GHG emission factors from the UK Government Department for Environment, Food & Rural Affairs, Department for Energy Security and Net Zero and Department for Business, Energy & Industrial Strategy Deloitte Deloitte Accountants BV Diversity The variety of people considering for example gender, neurodiversity, nationality, sexual orientation, people with disabilities and under-represented minorities. D&I Diversity and inclusion DRAM Dynamic random-access memory DUV A lithography technology that uses deep ultraviolet (DUV) light E E-beam Electron beam EBIT Earnings before interest and taxes EHS Environment, health and safety EHS Competence Center A group within ASML that defines EHS standards, gathers best practices and helps managers implement them. EMEA Europe, the Middle East and Africa Employee Those individuals in an employment relationship with ASML according to national law or practice. Employees in terms of ESRS reporting comprise total payroll employees for financial statement reporting. Employee turnover Employees who leave ASML voluntarily or due to dismissal, retirement or death in service, thereby excluding termination by way of reaching the end of agreed contact duration. EMS Environmental management system EPE Edge placement error EPS Earnings per share Name Description ERM Enterprise risk management ERP Enterprise resource planning eScan ASML’s e-beam wafer inspection system family for targeted in-line defect detection. ESG Environmental, social and governance ESRS European Sustainability Reporting Standards ETR Effective tax rate EU European Union EU-IFRS IFRS Accounting Standards as endorsed by the European Union Euribor Euro Interbank Offered Rate Eurobond A bond denominated in euros Euroclear Nederland The Dutch Central Securities Depository (Nederlands Centraal Instituut voor Giraal Effectenverkeer BV). Euronext Amsterdam Euronext Amsterdam NV EUV A lithography technology that uses extreme ultraviolet (EUV) light with a wavelength of 13.5 nm – this is the cutting-edge of lithography and provides the highest resolution possible. EVP Executive Vice President EXE – EUV 0.55 NA ASML’s second TWINSCAN platform for EUV lithography, also referred to as EUV 0.55 NA or High NA EUV. Exchange Act US Securities Exchange Act of 1934 F Fab Semiconductor fabrication plant Fast shipment A fast shipment process skips some of the testing in our factory and provides our customers with earlier access to wafer output capacity. When customer acceptance at FAT is not proven, this leads to a deferral of revenue recognition until SAT. FAT Factory acceptance test FDII Foreign-derived intangible income Feature The elements that make up the pattern for a given layer of a microchip F-Gas Fluorinated gases (F-gases) is a commonly used word for a group of gases that contain fluorine. Fitch A leading provider of credit ratings, commentary and research for global capital markets Flash A type of non-volatile memory used for storing and transferring information Foundry A contract manufacturer of logic chips Fraunhofer Applied research organization in Germany Name Description STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 411 Consolidated financial statements Company financial statements Other information Appendices Definitions Definitions (continued)


 
FTE Full-time equivalent G G-SEED Green Standard for Energy and Environmental Design (South Korea) GAAP Generally accepted accounting principles GDP Gross domestic product Gemba Walk The Gemba Walk is an opportunity for staff to stand back from their day-to-day tasks to walk the floor of their workplace to identify wasteful activities. GHG Greenhouse gas GHG neutrality We define GHG neutrality as having our remaining emissions, after ASML’s efforts to reach our GHG emission reduction targets, compensated by the same amount of tonnes (metric tons) of carbon credits that are verified against recognized quality standards. GPU Graphics processing unit GRI Global Reporting Initiative GRI standards GRI sustainability reporting standards H High-bandwidth Memory Type of computer memory designed to provide both high-bandwidth and low-power consumption. HMI The brand name for ASML’s range of electron beam (e-beam) wafer inspection and metrology systems. Holistic lithography Our approach to optimizing the entire microchip printing process and enabling affordable scaling in chip technology by integrating lithography systems with computational modeling and wafer metrology and inspection solutions to analyze and control the manufacturing process in real time. Horizon Europe Program A public-private partnership that facilitates collaboration and strengthens the impact of research and innovation in developing, supporting and implementing EU policies while tackling global challenges. HR&O Human Resources and Organization HTPCW High-temperature process cooling water Huisman Huisman Equipment BV I IAS International accounting standards IBM Installed base management IC Integrated circuit ICT Information and communication technology Name Description ID2PPAC Integration of processes and modules for the 2 nm node meeting power performance area and cost requirements. IDM Integrated device manufacturer IEA International Energy Agency IFRS International financial reporting standards i-line Light with a wavelength of 365 nm, generated by mercury vapor lamps and used in some lithography systems. ILO International Labor Organization Imaging The transfer of a pattern onto the photoresist on a wafer using light. imec Interuniversitair Micro-Elektronica Centrum Immersion lithography A lithography technique that uses a pool of ultrapure water between the lens and the wafer to increase the lens’s numerical aperture (ability to collect and focus light). This improves both the resolution and depth of focus for the lithography system. Inclusion Creating a safe and trusting environment where everyone feels empowered to speak up and make a difference and feels accepted for who they are and what they bring to the table. Inclusion score The overall score related to the questions included in the employment engagement survey that specifically relate to ‘inclusion’. Industrial site Industrial buildings and offices combined at one location Intel Intel Corporation Internal Control – Integrated Framework 2013 Criteria issued by the Committee of Sponsoring Organizations of the Treadway Commission Internet of things (IoT) A network of physical objects embedded with sensors, actuators, electronics and software that allow the objects to collect and exchange data. IP Intellectual property IPCC Intergovernmental Panel on Climate Change IPR Intellectual property rights IRA Inflation Reduction Act of 2022 I-REC International renewable energy certificate IRS Internal Revenue Service of the United States ISO International Organization for Standardization ITM Integrated Talent Management J JG13+ Job grade 13 and higher Name Description STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 412 Consolidated financial statements Company financial statements Other information Appendices Definitions Definitions (continued)


 
JP Morgan Chase US-based holder of our New York share register K KLA-Tencor KLA-Tencor Corporation KPI Key performance indicator KPMG KPMG Accountants N.V. K-Reach Act on the Registration and Evaluation of Chemicals in South Korea KrF Krypton fluoride kt Kilotonne or 1,000 tonnes (1 tonne = unit of mass equal to 1,000 kilograms) kWh Kilowatt-hour L LED Light-emitting diode LEED Leadership in Energy and Environmental Design LEP Lifetime Extension Package LGBTQIA+ Lesbian, gay, bisexual, transgender, queer, intersex, asexual and other identities Lithography Lithography, or photolithography, is the process in microchip manufacturing that uses light to pattern parts on a silicon wafer. Logic Integrated devices such as microprocessors, microcontrollers and graphics processing units. Also refers to companies that manufacture such devices. LTI Long-term incentive Living wage A wage that provides for the satisfaction of the needs of the employee and his/her family in the light of national economic and social conditions. M Management Report The sections Strategic report, Corporate governance, Supervisory Board report and Sustainability statements together form the Management Report. Memory Microchips, such as NAND Flash and DRAM, that store information. Also refers to companies that manufacture such chips. Metalektro Multi-employer union plan is managed by PME (Stichting Pensioenfonds van de Metalektro). Metrology The science of measurement on pattern quality before and during high-volume chip manufacturing. Minimum wage A national or sub-national lowest wage level established by legislation or collective bargaining. mm Millimeter (one thousandth of a meter) Name Description MNP Make Next Platform Moody's An American credit rating agency that provides corporate ratings. Mt Megatonne, a metric unit equivalent to 1 million (106) tonnes, or 1 billion (109) kilograms MW Megawatt, a metric unit equivalent to one million (106) watt myEHS system ASML’s health and safety management system N N1-conversion A category of 'non-employee' in temporary role (maximum of 12 months) through placement agency, to move into a 'permanent employee' position. NA Numerical aperture NACE Statistical Classification of Economic Activities in the European Community NAND A binary logical operator that gives an output when it receives one or no input; a composite of ‘NOT AND’. Nasdaq Nasdaq Stock Market LLC NEa Dutch Emissions Authority (Nederlandse Emissieautoriteit) Net bookings Net bookings include all system sales orders and inflation related adjustments, for which written authorizations have been accepted. Net-zero target Setting a net-zero target at the level of an undertaking aligned with meeting societal climate goals means, according to the ESRS: i. achieving a scale of value chain emissions reductions consistent with the abatement required to reach global net-zero in 1.5˚C pathways; and ii. neutralizing the impact of any residual emissions (after approximately 90–95% of GHG emission reduction with the possibility for justified sectoral variations in line with a recognized sectoral pathway) by permanently removing an equivalent volume of CO2. NGO Non-governmental organization NIIT Net investment income tax Nikon Nikon Corporation NL The Netherlands nm Nanometer (one billionth of a meter) Node A stepping stone in the chipmaking industry’s roadmap for smaller features and more advanced microchips, describes and differentiates generations of semiconductor manufacturing technologies and the chips made with them. Nodes with ‘smaller sizes’ refer to more advanced technologies. Non-employees Includes both individual contractors supplying labor to ASML (‘self-employed people’) and workers provided by ASML primarily engaged in ‘employment activities’ (NACE Code N78). Name Description STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 413 Consolidated financial statements Company financial statements Other information Appendices Definitions Definitions (continued)


 
Non-GAAP A measure of a company’s historical or future financial performance, financial position or cash flows that are not calculated or presented in accordance with the GAAP. NPR Non-product-related NV Naamloze vennootschap, referred to as NV NXE – EUV 0.33 NA ASML’s first TWINSCAN platform for EUV lithography with a numerical aperture of 0.33 that provides 13 nm resolution to support advanced Logic and Memory chip production, also referred to as EUV 0.33 NA. NXT An enhanced version of the original TWINSCAN system platform offering significantly improved overlay and productivity. O OCI Other comprehensive income OECD Organisation for Economic Co-operation and Development Other worker Individuals providing services connected to ASML operations or core activities not meeting the definition of ‘employee’ or ‘non-employee’. Overlay The layer-to-layer alignment of chip structures Own workforce Aggregate of 'Employees' and 'Non-employees’ P PAS Philips Automatic Stepper – ASML’s first lithography platform that uses a single stage. Pattern fidelity A holistic measure of how well the desired pattern is reproduced on the wafer Pattern fidelity control A holistic approach to controlling the whole process of manufacturing advanced microchips in high volumes that aims to improve overall yields. It draws data from production equipment and computational lithography tools, analyzing it with techniques such as machine learning to provide real-time feedback. Patterning The process of creating a pattern in a surface to build microchips PCAOB Public Company Accounting Oversight Board PEP Productivity Enhancement Package Performance and career development reviews As part of the ASML Develop and perform cycle, performance and career development reviews refer to the annual evaluations, taking into account the employees’ performance and peer reviews that result in a final overall rating provided by the employees’ direct superior. Name Description Permanent employees Permanent employees are those individuals with long-term employment contracts with ASML wherein there is no established termination date. PFAS Perfluoroalkyl chemicals PGP Product generation process Philips Health technology company, headquartered in the Netherlands PHLX Index Semiconductor sector index PIs Performance indicators PME Bedrijfstakpensioenfonds Metalektro PR Product-related Preference shares foundation Stichting Preferente Aandelen ASML Preference share option An option to acquire cumulative preference shares in our capital PwC PricewaterhouseCoopers Accountants NV Q Q&As Questions and answers R R&D Research and development RBA Responsible Business Alliance REACH Registration, evaluation, authorization and restriction of chemicals REC Renewable Energy Certificate Recordable work- related injuries Work-related injury that results in any of the following: (i) death, days away from work, restricted work or transfer to another job, medical treatment beyond first aid, or loss of consciousness; or (ii) significant injury diagnosed by a physician or other licensed healthcare professional, even if it does not result in death, days away from work, restricted work or job transfer, medical treatment beyond first aid or loss of consciousness. Recoverable amount The greater out of an asset’s fair value less costs to sell and its value in use Remuneration Policy The remuneration policy applicable to the Board of Management of ASML Holding NV Reticle A plate containing the pattern of features to be transferred to the wafer for each exposure ROAIC Return on average invested capital Name Description STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 414 Consolidated financial statements Company financial statements Other information Appendices Definitions Definitions (continued)


 
RoHS Restriction of hazardous substances S Standard & Poor's A stock index of the United States that, due to its broad composition, gives a reliable picture of developments in the American stock market. SAQ Self-assessment questionnaire Sarbanes-Oxley Act The Sarbanes-Oxley Act of 2002 SAT Site acceptance test SB ASML’s Supervisory Board SBTi Science-Based Targets initiative SCC Semiconductor Climate Consortium Scope 1 CO2e emissions Direct carbon dioxide emissions from resources an organization owns or controls Scope 2 CO2e emissions Indirect carbon dioxide emissions due to the energy an organization consumes Scope 3 CO2e emissions All other indirect carbon dioxide emissions that occur in an organization’s value chain Scope 3 CO2e emissions intensity All other indirect carbon dioxide emissions that occur in an organization’s value chain expressed as a percentage of revenue or gross profit. SDGs United Nations' Sustainable Development Goals SEC The United States Securities and Exchange Commission SEMI Semiconductor Equipment and Materials International SEMI S2 SEMI S2 – Safety Guideline, Environmental, Health and Safety Guideline for Semiconductor Manufacturing Equipment, a set of performance-based EHS considerations for semiconductor manufacturing equipment. SEMI S23 SEMI S23 – Guide for Conservation of Energy, Utilities and Materials Used by Semiconductor Manufacturing Equipment, guidelines for collecting, analyzing and reporting energy-consuming semiconductor manufacturing equipment utility data. SG&A Selling, general and administrative expenses Shrink The process of developing smaller transistors for more advanced chips. Significant employment country Operating countries in which ASML has 50 or more employees representing at least 10% of its total number of employees. Significant employment region Operating regions in which ASML has 50 or more employees representing at least 10% of its total number of employees. Name Description SNEP System Node Extension Package SOC Security Operations Center Social dialogue Communication and exchanges between or among ASML, its organizations, representatives of governments and workers’ representatives, on issues of common interest relating to economic and social policy. SSD Solid-state drive SS&P Strategic sourcing and procurement Star level Startups accelerated by Eindhoven Startup Alliance / HighTechXL that show a multiple of investment of above 10 times. STEM Science, technology, engineering and mathematics STI Short-term incentive STR Stichting Technology Rating, a non-profit organization T T-REC Taiwan Renewable Energy Certificate TCC Total Cash Compensation TCFD Task Force on Climate-related Financial Disclosures Technical competence The capabilities and spread of technical expertise among our people, and the extent to which they are embedded in our processes and operations. Temporary employees Temporary employees are those individuals with a fixed-term agreement with ASML wherein the duration of the contract is agreed upon prior to its commencement. Thales NL Dutch branch of the international Thales Group Throughput The number of wafers a system can process per hour Tier 1 (2, 3) supplier Tier 1 suppliers are direct suppliers, whereas Tier 2, 3 and beyond refer to suppliers of our suppliers. TJ Terajoule (one trillion joules) TNO Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek (Netherlands Organisation for Applied Scientific Research) Top management Top management within ASML has been defined as senior leadership (job grade 13) and higher excluding the Supervisory Board. Training hours Hours of internal and external learning completed by employees and registered on ASML learning platforms. Transistor A semiconductor device that is the fundamental building block of microchips TSCA Toxic Substances Control Act Name Description STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 415 Consolidated financial statements Company financial statements Other information Appendices Definitions Definitions (continued)


 
TSMC Taiwan Semiconductor Manufacturing Company Ltd. TSR Total shareholder return TU/e Technische Universiteit Eindhoven TWINSCAN ASML’s unique lithography system platform, with two complete wafer stages to allow one wafer to be mapped while another is being exposed, thereby enabling higher accuracy and throughput. U UNGP United Nations Guiding Principles on Business and Human Rights US United States US GAAP Generally accepted accounting principles in the United States of America V Vanderlande A material handling and logistics automation company based in the Netherlands VAT Value-added tax VER(s) Voluntary emission reduction (certificates) VIE Variable interest entity VLSI VLSI Research Inc. VNO-NCW The Confederation of Netherlands Industry and Employers VOC Volatile organic compound VP Vice president VPA Volume purchase agreement W WACC Weighted average cost of capital Wafer inspection The process of locating and analyzing individual chip defects on a wafer Wafer metrology The process of measuring the quality of patterns on a wafer Waste intensity The total waste in millions of kilograms (excluding construction waste) divided by revenue (in millions of euros). Wavelength The distance between two peaks of a wave such as light. The shorter the wavelength of light used in a lithography system, the smaller the features the system can resolve. Website asml.com Works Council Works Council of ASML Netherlands BV Name Description wph Wafers per hour X XT ASML’s second TWINSCAN platform for DUV lithography, with two complete wafer stages to allow one wafer to be mapped while another is being exposed, thereby enabling higher accuracy and throughput. Y YieldStar ASML’s optical diffraction-based wafer metrology platform Z ZEISS Carl Zeiss AG Name Description STRATEGIC REPORT CORPORATE GOVERNANCE SUSTAINABILITY FINANCIALS ASML Annual Report 2024 416 Consolidated financial statements Company financial statements Other information Appendices Definitions Definitions (continued)


 
Exhibit 99.2

ASML publishes 2024 Annual Reports
Sustainability statements reported in accordance with the ESRS for the first time


VELDHOVEN, the Netherlands, March 5, 2025 Today, ASML Holding NV (ASML) has published its 2024 Annual Reports.

The 2024 Annual Reports ('Powering technology forward with you') highlight ASML’s commitment to bring technology forward by developing the tools that enable faster, more powerful and energy-efficient microchips, allowing our customers to address some of society’s biggest challenges. Our ongoing innovation relies on strong partnerships with our stakeholders, and together, we’re creating sustainable solutions. The 2024 Annual Reports reflect on ASML's business model and strategy, corporate governance, sustainability and financial performance. For the first time, our Annual Reports include sustainability statements in accordance with the European Sustainability Reporting Standards (ESRS). The full reports and introductory video with CFO Roger Dassen are published on our website www.asml.com.

ASML's primary accounting standard is US GAAP, the accounting principles generally accepted in the US. In addition to reporting in accordance with US GAAP, ASML also reports in accordance with International Financial Reporting Standards as adopted by the European Union (IFRS) for Dutch statutory purposes. The most significant recurring differences between US GAAP and IFRS that affect ASML concern the capitalization of certain product development costs and accounting for income taxes.

ASML will file its 2024 Annual Report based on US GAAP on Form 20-F with the US Securities and Exchange Commission (SEC), and its 2024 Annual Report based on IFRS-EU with the Dutch Authority for the Financial Markets (AFM). ASML's 2024 Annual Report will also be available at www.sec.gov. The 2024 Annual Report based on IFRS will be available at www.afm.nl.



Media Relations contactsInvestor Relations contacts
Monique Mols +31 6 5284 4418
Jim Kavanagh +31 40 268 3938
Sarah de Crescenzo +1 925 899 8985
Pete Convertito +1 203 919 1714
Karen Lo +886 9 397 88635
Peter Cheang +886 3 659 6771


About ASML
ASML is a leading supplier to the semiconductor industry. The company provides chipmakers with hardware, software and services to mass produce the patterns of integrated circuits (microchips). Together with its partners, ASML drives the advancement of more affordable, more powerful, more energy-efficient microchips. ASML enables groundbreaking technology to solve some of humanity's toughest challenges, such as in healthcare, energy use and conservation, mobility and agriculture. ASML is a multinational company headquartered in Veldhoven, the Netherlands, with offices across EMEA, the US and Asia. Every day, ASML’s more than 44,000 employees (FTE) challenge the status quo and push technology to new limits. ASML is traded on Euronext Amsterdam and NASDAQ under the symbol ASML. Discover ASML – our products, technology and career opportunities – at www.asml.com.



ASML Holdings NV (PK) (USOTC:ASMLF)
Historical Stock Chart
From Feb 2025 to Mar 2025 Click Here for more ASML Holdings NV (PK) Charts.
ASML Holdings NV (PK) (USOTC:ASMLF)
Historical Stock Chart
From Mar 2024 to Mar 2025 Click Here for more ASML Holdings NV (PK) Charts.